Design on CIC interpolator in Model Simulator

Similar documents
FPGA Implementation of Optimized Decimation Filter for Wireless Communication Receivers

International Journal of Engineering Research-Online A Peer Reviewed International Journal

Effect of Compensation and Arbitrary Sampling in interpolators for Different Wireless Standards on FPGA Platform

Performance Analysis and Behaviour of Cascaded Integrator Comb Filters

DDC and DUC Filters in SDR platforms

An Improved Recursive and Non-recursive Comb Filter for DSP Applications

Suverna Sengar 1, Partha Pratim Bhattacharya 2

Design & Simulation of 128x Interpolator Filter

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

An Enhancement of Decimation Process using Fast Cascaded Integrator Comb (CIC) Filter

Multirate Digital Signal Processing

Memory efficient Distributed architecture LUT Design using Unified Architecture

Design and VLSI Implementation of Oversampling Sigma Delta Digital to Analog Convertor Used For Hearing Aid Application

A review on the design and improvement techniques of comb filters

LUT Optimization for Memory Based Computation using Modified OMS Technique

FPGA Hardware Resource Specific Optimal Design for FIR Filters

Area-Efficient Decimation Filter with 50/60 Hz Power-Line Noise Suppression for ΔΣ A/D Converters

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

FPGA Implementation of DA Algritm for Fir Filter

Implementation of Low Power and Area Efficient Carry Select Adder

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Multirate Signal Processing: Graphical Representation & Comparison of Decimation & Interpolation Identities using MATLAB

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

OPTIMIZED DIGITAL FILTER ARCHITECTURES FOR MULTI-STANDARD RF TRANSCEIVERS

Distributed Arithmetic Unit Design for Fir Filter

Optimization of memory based multiplication for LUT

FPGA Realization of Farrow Structure for Sampling Rate Change

ECE438 - Laboratory 4: Sampling and Reconstruction of Continuous-Time Signals

Designing Fir Filter Using Modified Look up Table Multiplier

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Enhancing Performance in Multiple Execution Unit Architecture using Tomasulo Algorithm

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

A Parallel Area Delay Efficient Interpolation Filter Architecture

Design of Memory Based Implementation Using LUT Multiplier

An Efficient Reduction of Area in Multistandard Transform Core

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

An FPGA Implementation of Shift Register Using Pulsed Latches

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

SDR Implementation of Convolutional Encoder and Viterbi Decoder

An Lut Adaptive Filter Using DA

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

A Novel Architecture of LUT Design Optimization for DSP Applications

Implementation of CRC and Viterbi algorithm on FPGA

Upgrading a FIR Compiler v3.1.x Design to v3.2.x

2.6 Reset Design Strategy

Towards More Efficient DSP Implementations: An Analysis into the Sources of Error in DSP Design

Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block

Inside Digital Design Accompany Lab Manual

An MFA Binary Counter for Low Power Application

A Fast Constant Coefficient Multiplier for the XC6200

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

Design of an Area-Efficient Interpolated FIR Filter Based on LUT Partitioning

Radar Signal Processing Final Report Spring Semester 2017

FPGA Development for Radar, Radio-Astronomy and Communications

VLSI IEEE Projects Titles LeMeniz Infotech

Efficient Method for Look-Up-Table Design in Memory Based Fir Filters

Implementation of High Speed Adder using DLATCH

An Efficient High Speed Wallace Tree Multiplier

Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier

Research Article Low Power 256-bit Modified Carry Select Adder

ALONG with the progressive device scaling, semiconductor

A MULTIPLIERLESS RECONFIGURABLE RESIZER FOR MULTI-WINDOW IMAGE DISPLAY

Implementation of Memory Based Multiplication Using Micro wind Software

Design and Analysis of Modified Fast Compressors for MAC Unit

THE USE OF forward error correction (FEC) in optical networks

A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2

LogiCORE IP CIC Compiler v2.0

DESIGN OF INTERPOLATION FILTER FOR WIDEBAND COMMUNICATION SYSTEM

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

University of Maiduguri Faculty of Engineering Seminar Series Volume 6, december 2015

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P11 ISSN Online:

Modified Reconfigurable Fir Filter Design Using Look up Table

SOC Implementation for Christmas Lighting with Pattern Display Indication RAMANDEEP SINGH 1, AKANKSHA SHARMA 2, ANKUR AGGARWAL 3, ANKIT SATIJA 4 1

ISSN:

DESIGN OF LOW POWER AND HIGH SPEED BEC 2248 EFFICIENT NOVEL CARRY SELECT ADDER

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

DIGITAL COMMUNICATION

Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

A Low Power Delay Buffer Using Gated Driver Tree

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

OMS Based LUT Optimization

Calibrate, Characterize and Emulate Systems Using RFXpress in AWG Series

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Serial FIR Filter. A Brief Study in DSP. ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 GEORGE MASON UNIVERSITY.

UNIVERSAL SPATIAL UP-SCALER WITH NONLINEAR EDGE ENHANCEMENT

FPGA Implementation OF Reed Solomon Encoder and Decoder

Keywords- Discrete Wavelet Transform, Lifting Scheme, 5/3 Filter

Memory Efficient VLSI Architecture for QCIF to VGA Resolution Conversion

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

Transcription:

Design on CIC interpolator in Model Simulator Manjunathachari k.b 1, Divya Prabha 2, Dr. M Z Kurian 3 M.Tech [VLSI], Sri Siddhartha Institute of Technology, Tumkur, Karnataka, India 1 Asst. Professor, Dept. of ECE, Sri Siddhartha Institute of Technology, Tumkur, Karnataka, India 2 HOD, Dept. of ECE, Sri Siddhartha Institute of Technology, Tumkur, Karnataka, India 3 ABSTRACT: This project survey review on optimization of CIC filter, and as architecture aspects of up sampling and down sampling rate using CIC filter and comparison between the results in hardware and simulations. Processing a high data rate signal is a difficult task. Reducing the data rate of such signals would ease the processing significantly. In a communications system, two systems might be working at different a rate which requires a rate change process. This is achieved by the use of a decimator or an interpolator. Here CIC filter on designing models are developed for using Xilinx system generator, by cascading various CIC filter stages. The hardware is synthesized in FPGA and verified with Model sim and Mat lab simulation results. This project also discusses about performance analysis with respect to the number of stages (N) and rate change factor (R) of the filter pipelining, throughput and area reduction techniques.. KEYWORDS: CIC Filter, Multirate, Model sim, FPGA. I.INTRODUCTION Systems dealing with different kind of sampling rates are termed as multirate system. As the need of data conversion is increasing day by day, extraction of narrow band from the wide band sources, and design of narrow band filters with wideband signals are becoming decisive. FPGA used for developing digital signal processing. The large hierarchy of programmable logic blocks within the FPGA gives great re-configurability together with speed. Once programmed, the FPGA may not provide the flexibility of a processor but offers better speed, which is required for many DSP applications In signal processing, most currently used procedure is to adjust sample rate frequency with respect to signal of interest. CIC filter is formed by cascade of digital accumulator (integrator) subsequently chased by a cascade of digital differentiators (combs) in equal Number of stages. Decimator is service to lower the sampling frequency of the comb signals with respect to the system sampling frequency. This is placed in between the multi rate filters. So that CIC filter architecture is enhancement. Consider for Decimation, one can get down computational complexity of narrowband low pass filter as compared with using a single stage FIR, along which the filter operate at reduce clock rates, low power and high speed used CIC filters. It happens of multirate filter more popular, and also designs on architecture that basically uses only without multipliers. II. RELATED WORK This approach can be applied profitably to Decimator and Interpolator designs, the essential function of Decimation or Interpolation filter is to decrease or increase the sampling rate and to keep the pass band aliasing or imaging error within prescribed bounds. The filters require no multipliers and use limited storage thereby leading to more economical hardware implementations. They are designated cascaded integrator-comb (CIC) filters because their structure consists of an integrator section operating at the high sampling rate and a comb section operating at the low sampling rate. Copyright to IJIRCCE www.ijircce.com 4021

Using CIC filters, the amount of pass band aliasing or imaging error can be brought within prescribed bounds by increasing the number of stages in the filter. However, the width of the pass band and the frequency characteristics outside the pass band are severely limited [1]. The fact that the CIC filters need to operate at such high rates makes their multiplier less-nature attractive for hardware implementation. The CIC up sampling filters usually consist series of single stages rearranged in such a way that all the down sampling filters are grouped together with all the integrator filters. By looking closely at a single-stage CIC interpolator, it has shown a simple trick to reduce the complexity of a multistage implementation. Because multistage CIC interpolators have a single-stage CIC interpolator at its core, this trick will simplify the complexity of any CIC Interpolator [2]. The Cascaded Integrator Comb (CIC) filter is a digital filter which is employed for multiplier-less realization. This type of filter has extensive applications in low-cost implementation of interpolators and decimators. However, there is a problem of pass-band droop, which can be eliminated using compensation techniques. The Farrow filters is another class of digital filters which are used extensively in arbitrary sample rate conversions and fractionally delaying the samples. They have poly-phase structure and are very efficient for digital filtering. In addition to this, Field-Programmable gate Array (FPGA) has become an extremely cost-effective means of off-loading computationally intensive digital signal processing algorithms to improve overall system performance.sample Rate Converter, CIC filter with and without compensation technique are implemented on, FPGA. Farrow filters are also implemented for fractional delay and arbitrary change in sample rate conversion. Both of these filter configurations provide a better performance than the common filter structures in terms of speed of operation, cost, and power consumption in real-time. The CIC filter is a multiplier free filter that can handle large rate changes. It was proposed by Eugene Hogenauer in 1981 [1]. It is formed by integrating basic 1-bit integrators and 1-bit differentiators. It uses limited storage as it can be constructed using just adders and delay elements. That s why it is also well suited for FPGA and ASIC implementation. The CIC filter can also be implemented very efficiently in hardware due to its symmetric structure. The CIC filter is a combination of digital integrator and digital differentiator stages, which can perform the operation of digital low pass filtering and decimation at the same time.[3] Fig 1: Block diagram of three stages CIC decimation and interpolation filter An integrator filter is a single pole accumulator with a transfer function H I (z) (Equation 1): H I (z) =1/(1-z -1 ) (1) A comb filter is a differentiator with a transfer function H C (z) (Equation 2): H C (z) = 1- z -M (2) In this equation, M is the differential delay, and is usually limited to 1 or 2. In a CIC filter, the integrators operate at high sampling frequency (fs), and the comb filters operate at low frequency (fs/r). Using the Noble identities, the equivalent frequency response of their cascade can be calculated (Fig 2). Copyright to IJIRCCE www.ijircce.com 4022

Fig 2: Block Diagram of the Equivalent Frequency Response of an N-Stage CIC Filter. Equation 3 shows the total response of a CIC filter at high frequency (fs): H(z)=[ R*M-1 Z -1 ] N (3) K=0 In this equation, N is the number of integrator-comb filter pairs, and R is the rate change factor. Equation 3 implies that the equivalent time domain impulse response of a CIC filter can be viewed as a cascade of N rectangular pulses. Each rectangular pulse has RM taps.[3] Multi rate filters: The efficiency of multi rate filters is greatly improved by simplifying arithmetic operations. This is achieved by replacing a multiplier with a small number of shifters-and-adders. Generally, implementing multiplier less design techniques in sub-filters, at the cost of a slight derogation of filtering performances, increases the efficiency of the overall multirate filter. A well-known solution for large conversion factors in decimation is a cascaded integrated comb (CIC) filter, which performs multiplier less filtering [4].. III.PROPOSED WORK Block diagram: Interpolator: Up sampling by a factor R is the process of inserting R-1 zero valued samples between original samples in order to increase the sampling rate. The output sample rate increases by a factor R. Upsampling by R adds to the original signal R-1 undesired spectral images which are centered at multiples of the original sampling rate.cic filters are used as antiimaging filters for interpolated signals in order to remove the unwanted spectral images.the comb section precedes the integrator in a CIC interpolator. Fig 3 depicts the CIC interpolator structure. Fig 3: CIC interpolator in single stage. Copyright to IJIRCCE www.ijircce.com 4023

Fig 4: CIC Interpolator in Five stages. X(n) is given as sampling rate input, Y(n) is reduced sampling rate output, R is a rate change of factors, Here design on CIC Decimator filer for multi stage series are connected in integrator and comb. Integrator output follows depending on output to Decimator stage with following Decimator delay, so that Decimator output going to CIC output. Optimized design of the number of stages and the choice of decimation factor for the individual stages results in reduction decimation filter. Each integrator contributes to the CIC transfer function with a input. Each comb section contributes with a zero of order D, where D is the frequency decimation ratio. This filter is most often used in signal processing; mainly because of its simplicity and optimal behaviour for common ask like random noise reduction while retaining sharp step response. This makes it a prime filter for time domain encoded signal. At the same time it is worst filter for frequency domain encoded signals with less capacity to separate one band of frequencies from another. IV. IMPLEMENTATION AND RESULTS INTERPOLATOR: RTL verification: 1. Select the interpolator source file in the Source. 2. Open the Design Summary by double-clicking the View Design Summary process in the Processes tab. 3. Double-click the Implement Design process in the Processes tab. 4. Notice that after Implementation is complete, the Implementation processes have a green check mark next to them indicating that they completed successfully without Errors or Warnings as shown in fig 7. Fig 5: RTL verification of top module Copyright to IJIRCCE www.ijircce.com 4024

The RTL Verilog is then simulated to validate the functionality against the specification. RTL simulation is usually one or two orders of magnitude faster than gate level simulation, and experience has shown that this speed-up is best exploited by doing more simulation, not spending less time on simulation. In practice it is common to spend 70-80% of the design cycle writing and simulating Verilog at and above the register transfer level, and 20-30% of the time synthesizing and verifying the gates. Simulation Results: From the above results it can be seen that all the results are triggered at positive edge of clock pulse. when neg edge reset= 0, all the outputs are zeros. When neg edge reset = 1. If 8 bit valued counter from 00000000 to 00000011 then count increments up to three clock phase, counter increments by one, integrator operation performed. Whenever counter is equal to 00000 this cycle decimator operation preformed. Positive edge pulse rdy operation is done. Finally decimator output goes to CIC filter output performed. The simulated results are obtained as per requirements. The intermediate results are obtained using pre simulation and post simulation. Some of the simulation results of the decimator are shown in the following figures. Fig 6: Simulation waveform of decimator Fig 7: Simulation waveform of integrator Copyright to IJIRCCE www.ijircce.com 4025

RESULTS: Table 1: Design summary on CIC interpolator Device utilization summary for interpolator Number of stages = 5, down sampling rate = 3. And Differential delay = 2 ns. Fig 8: Synthesis result V. CONCLUSION This paper contents related work for CIC interpolator is studied and planned for the circuit design. Here only design only number stage increased and fixed rate change of factor in simulation results. The decimator code (40 bit) is written in verilog format and its RTL Register Transfer Logic architecture is obtained by synthesis of the code. During simulation of the code the wave forms are obtained, CIC filters can implement decimation efficiently in hardware for a wide range of rate change factors. The multirate filtering techniques are widely used in sampling rate conversion systems and constructing filters with equal input and output sampling rates. By using the multistage approach, the total number of coefficients is significantly reduced. REFERENCES 1. Eugene B. Hogenauer, "An Economical Class of Digital Filters for Decimation and Interpolation," IEEE Transactions on Acoustics, Speech, and Signal Processing, vol. ASSP-29, no. 2, pp. 155-162, Apr. 1981. 2. P. P. Vaidyanathan and Sanjit K. Mitra. Polyphase Networks, Block Digital Filtering, LPTV Systems, and Alias- Free QMF Banks: A Unified Approach Based on Pseudocirculants. IEEE Transactions on Acoustics, Speech, and Signal Processing, 36(3):381 391, March 1988 3. Ricardo A. Losada and Richard Lyons, Reducing CIC Filter Complexity,IEEE Signal Processing magazine,pp. 124-126,July 2006. 4. Ricardo A. losada, digital filters with matlab,the mathworks inc.,may 2008 5. Fredric J. Harris, Multirate Signal Processing for Communicating Systems, 2004 6. Charanjit singh University College, Punjabi university Patiala, India International Journal of Advanced Computer Science and Applications, Vol. 1, No. 6, December 2011. 7. B.A.Shenoi, Introduction to Digital Signal Processing and FilterDesign,JohnWiley and sons,inc.,newjersey,2006 8. Uwe Meyer-Baese. Digital Signal Processing with Field Programmable Gate Arrays. Springer-Verlag, New York, Inc., Secaucus, NJ, USA, pp. 70-75, 2008. 9. J. Mitola, "The Software Radio Architecture," IEEE Communications magazine, vol. 33, no. 5, pp. 26-38, May 1995. 10. Ramesh Bhakthavatchalu, Karthika V.S.,Lekshmi Design and Implementation of Improved Attenuation CIC Decimator and Interpolator in FPGA International Journal of Recent trends in Engineering and Technology, ACEEE, pg. 18-22, Vol. 6, No.2, Nov2011. 11. Rajesh Mehra and Shaily Verma International Journal of Recent Technology and Engineering (IJRTE) ISSN: 2277-3878, Volume-1, Issue-6, January 2013 Copyright to IJIRCCE www.ijircce.com 4026