IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Similar documents
Test Compression for Circuits with Multiple Scan Chains

Low Power Estimation on Test Compression Technique for SoC based Design

Design of Fault Coverage Test Pattern Generator Using LFSR

Changing the Scan Enable during Shift

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

Design for test methods to reduce test set size

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

A New Low Energy BIST Using A Statistical Code

Design of BIST with Low Power Test Pattern Generator

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

SIC Vector Generation Using Test per Clock and Test per Scan

Using on-chip Test Pattern Compression for Full Scan SoC Designs

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Deterministic BIST Based on a Reconfigurable Interconnection Network

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Survey of Test Vector Compression Techniques

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Efficient Test Pattern Generation Scheme with modified seed circuit.

Overview: Logic BIST

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Scan. This is a sample of the first 15 pages of the Scan chapter.

Weighted Random and Transition Density Patterns For Scan-BIST

Survey of low power testing of VLSI circuits

VLSI System Testing. BIST Motivation

Clock Gate Test Points

Power Problems in VLSI Circuit Testing

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

ECE 715 System on Chip Design and Test. Lecture 22

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Methodology to Detect and Diagnose Faults in Memories using BIST

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

I. INTRODUCTION. S Ramkumar. D Punitha

LOW-OVERHEAD BUILT-IN BIST RESEEDING

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Lecture 23 Design for Testability (DFT): Full-Scan

HIGHER circuit densities and ever-increasing design

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

VLSI Test Technology and Reliability (ET4076)

ISSN Vol.04, Issue.09, September-2016, Pages:

Fault Detection And Correction Using MLD For Memory Applications

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY /$ IEEE

Implementation of Scan Insertion and Compression for 28nm design Technology

DESIGN OF LOW POWER TEST PATTERN GENERATOR

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint.

Research Article Low Power 256-bit Modified Carry Select Adder

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

This Chapter describes the concepts of scan based testing, issues in testing, need

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

LFSR Counter Implementation in CMOS VLSI

Design of BIST Enabled UART with MISR

High-Frequency, At-Speed Scan Testing

Power Optimization by Using Multi-Bit Flip-Flops

Controlling Peak Power During Scan Testing

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

Channel Masking Synthesis for Efficient On-Chip Test Compression

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Diagnosis of Resistive open Fault using Scan Based Techniques

Testing Digital Systems II

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

At-speed testing made easy

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

ISSN:

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

A video signal processor for motioncompensated field-rate upconversion in consumer television

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

Testing and Power Optimization with Programmable PRPG Technique

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Transcription:

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401, India #1 sathisheee55@gmail.com #2 saran@core.sastra.edu #3 viyajsai@ict.sastra.edu Abstract- VLSI testing majorly concern with test time and power consumed during testing process. This paper presents efficient Decompressor architecture for low power test applications. The aim of the paper is to reduce the transition count of shift-in test pattern which reduces the power. X-factor circuitry, the concept adopt on the decompressor architecture in efficient way to improve performance of testing. The X-factor circuitry Decompressor design captured in VerilogHDL that targeted to TSMC 0.25 micron CMOS technology and results are analyzed. Keywords: Decompressor, X-factor circuitry, Shift-in test pattern. I. INTRODUCTION VLSI design and testing application have tradeoff on three prime factors: Area, Power and Speed. Testing the design requires additional logic in the silicon to check the Faults which may occur during the hardware development process. DFT is based on ATPG and standard scan gained large acceptance as a dependable method certain high test coverage. The development of test generation and scan placing is automatic and provides assurances quality of results and very high predictability. The Number of fault models and coverage s can be detected by using generated test sets of Conventional ATPG systems. While detecting Faults in ATPG it will depends on scan cells, but only few cells are quantified. Random values are placed in remaining places. Extra faults are detected by indicated patterns; these are placed on a tester. Preponderance of present test compression methods, together with the LFSR coding proceeds gain of low test cube fill rates. Reduce cost related through testing complex circuit. The design circuit that it will be easier to test. Scan patterns and scan cells directly proportional to volume of test data. The test compaction, that is reduce the number of test patterns by merging compatible patterns and compression are reduce the data volume through coding and architectural approaches. The decompressor architecture is to find out the number of common and conflicts in n- number of test pattern. The proposed technique to implement the Decompressor architecture with X-filling concept. II. RELATED WORK Embedded Deterministic test is based on standard scan methodology. Embedded means high fault coverage, arbitrary fault models and minimum number of patterns. Deterministic, it is simple, more complex, unlimited numbers of the scan chains and short scan load time [1]. Existing methods that develops a decompressor architecture that presented the Test data and biasing logic. The biasing logic contains 3 AND gates. Gates are used for best tradeoff between the switching activities [2]. This is done during the compression and scan shift-in. To Developing this architecture requires additional space along with core, so we going for proposed technique. Embedded deterministic test (EDT) also called as Test-data volume compression [7]. EDT mitigates manufacturing test charge by providing the individuals of two instructions, which diminish the size, scan test time and data value. In paper [4] implementation can reduces data volume and time by division of several scan chains in same vector [6]. This paper deals for any fault model this compressed test patterns suited, and it is cost effective. The procedure of novel test data volume compression (EDT) generally contributes test stimulated compression manner [3]. So distribute test data sets to decompressor in continuous on chip flow. The existing method ATPG has broadly acceptable and reliable methodology that provides test coverage in paper [8]. The shadow register is to be used in paper [5] and to save the current state. Shadow registers are used for the need of modify the read only registers; they help the programmer to keep track of what is written to write only registers. Next one important thing is to implement the hold register in [2]; it can hold current data or load new data. Different types of X- filling method was proposed in paper [9], this methods to reduce the transition count and low power. A capture power-aware test compression method is safe limit over capture power by compromising test compression ratio [10] ISSN : 0975-4024 Vol 5 No 2 Apr-May 2013 1381

The decompressor input is directly coupled with the ATE channel is completed through routing logic controller by the first bit of every test pattern. The decompressor architecture consists of three blocks that is parent pattern, control pattern and incremental pattern. The first block is parent pattern with the ring generator1 and x-filling based on XOR network. The second block is control pattern; the control pattern is driven by the ring generator1. The hold register is located among the ring generator1 and phase shifter3, the hold register is to maintain the decompressor outputs unaffected. When the hold register is load enable the corresponding values shifted into the phase shifter3 otherwise it is waiting for new value. If HOLD is set to 1, the content of ring generator 1 reloads the hold register. This operation done before the action of feeding new seed variable to ring generator1.the control pattern is to select the output of the decompressor architecture. The last block is incremental block, this block consist of ring generator2, phase shifter3. The Incremental pattern decoding is done by these parts of decompressor architecture. Incremental pattern features particularly sparse particular bits and it is does not necessary for each and every one variables. The decompressor input test patterns are directly connected with the ATE channels. The EDT update signal is to be indicating the each and every test pattern of MSB first bit. The EDT update bit is suppose 1 means the parent pattern will select otherwise the incremental pattern will select. Suppose the incremental pattern was selected means the first bit of test pattern values to store in the register. Since the parent pattern was selected the first bit of the test pattern is to store in register and the multiplexer is to place between the decompressor decoder and register. The first mux becoming output is to store in register after three clock pulse only the another multiplexer to operate otherwise the initial values to be circulate and the output of multiplexer and register is depends on the ring generator inputs. ATE is a traditional methodology of passing the test pattern (parent pattern) to scan chain through round buffer for every chip. For BIST concept output of the multiplexer stored. III. PROPOSED METHOD X-factor circuitry method will fill defined logic instead of all in-determinant X rendering of values present in their neighboring scan element due to of power reduction in shift-in process, which is commonly called as adjacent fill. Filling of one x-bit in test pattern which causes too hefty in test responses which in turn determine the defined logic. In X-filling, the test cubes for industrial contains 97% of X-bits, which are represented by logic values, to increase the fault coverage of circuit under test. For improving fault coverage and power efficiency following algorithm has been implemented in decompressor architecture. The Pattern generator from ring generator is given to X-factor circuitry. These X-factor circuitry involves two basic function one is filling X-bits with defined logic. The X-filling concept works the flow chart show in fig 1. At first note the generated pattern and position the X-bits, using the concept of Shift-in Transition Probability (SiTP). Calculate SiTP for possible logic and compare the values. If SiTP i (1) is greater than SiTP i (0) then the X-bits filled with logic 0, if SiTP i (1) is lesser than SiTP i (0) then the X-bits filled with logic 1 and repeat the same process for other X- bits in the pattern. The filled pattern has undergone the secondary process of X-factor circuitry, i.e. XOR network. The XOR network generates the pattern which required of Parent, Incremental and Control. This detected pattern has less transition compare to the existing decompressor architecture so that the power due to switching of pattern during shift-in process reduced effectively to the scan chain. Modified decompression architecture is shown in fig 2. ISSN : 0975-4024 Vol 5 No 2 Apr-May 2013 1382

Test patterns with X-bits Calculate SiTP of X-bits, replaced by defined logics 0 s and 1 s YES SiTP i (1) > SiTP i (0) NO X-bit fills with logic 0 X-bit fills with logic 1 END Fig 1: Flow chart for X- filling IV. EXPERIMENTAL RESULTS In order to analysis of decompressor architecture and modified decompressor architecture results are verified on cadence RTL compiler using library TMSC 0.25 microns. Fig 3. Shows the proposed method RTL view. The experimental results are tabulated given below in Table 1, this tabulation consist of power, delay and Area. The proposed technique reduces the transition count and power. The Fig.4 shows the comparison chart of existing and proposed technique, the comparison chart based on the 8-bits test pattern differences. These chart comparisons between X-filling with 0, X-filling with 1 and shift-in test probability. Table 1: Comparison of parameter Analysis Instance Power Delay Area Existing 27076660.43nW 1274.9 28134 Proposed 26597930.51nW 1265.2 27414 ISSN : 0975-4024 Vol 5 No 2 Apr-May 2013 1383

Fig 2: Modified Decompressor Architecture Fig 3: RTL view of proposed method ISSN : 0975-4024 Vol 5 No 2 Apr-May 2013 1384

220 215 210 205 200 195 Existing Proposed 190 185 180 X-bits filling with 0 X-bits filling with 1 SiTP Fig 4: Comparison chart for Existing and Proposed Technique V. Conclusion Efficient decompressor architecture has been implemented and design captured using VerilogHDL. Decompressor architecture implemented with X-factor circuitry that helps in the reduction of transition count and improves the fault coverage of circuit under test. Transition in test pattern has been reduced this gives more advantage over power dissipation on shift-in process. Experimental results shown in Table which summarizes the area, power and timing details of Proposed X-factor Decompressor architecture. REFERENCES [1] J. Rajski, J. Tyszer, M. Kassab, and N. Mukherjee, Embedded deterministic Test, IEEE Transactions Computer.-Aided Design, 2004. [2] D. Czysz, G.Mrugalski, N. Mukherjee, J. Rajski, P. Szczerbicki and J.Tyszer, Deterministic Clustering of Incompatible Test Cubes for Higher Power-Aware EDT Compression, IEEE Transactions Computer.-Aided Design, 2011 [3] K.-J. Lee, J.-J.Chen and C.-H.Huang, Using a single input to support multiple scan chains, in Proc. ICCAD, 1998. [4] J.Rajski, J.Tyszer, M.Kassab, N. Mukherjee, R.Thompson, Kun-Han Tsai, A. Hertwig, N.Tamarapalli, G. Mrugalski, G. Eide1, and J. Qian Embedded deterministic test for low manufacturing test cost, ITC International Test Conference, 2002 [5] D. Czysz, G. Mrugalski, N. Mukherjee, J. Rajski, and J. Tyszer, Compression based on deterministic test vector clustering of incompatible Test cubes, in Proceed. ITC, 2009. [6] S.Wang and S. K. Gupta, An automatic test pattern generator for Minimizing switching activity during scan testing activity, IEEE Transactions Computer.- Aided Design, 2002. [7] D. Czysz, G. Mrugalski, N. Mukherjee, J. Rajski, P. Szczerbicki, and J.Tyszer, Low power compression of incompatible test cubes, Proceed ITC, 2010. [8] M. Chen and A. Orailoglu, Scan Power Reduction for Linear Test Compression Schemes Through Seed Selection, IEEE Transactions On Very Large Scale Integration (VLSI) Systems, 2012 [9] S. Balatsouka, V. Tenentes, X. Kavousianos and K. Chakrabarty, Defect Aware X-Filling for Low-Power Scan Testing, in Proceed. EDAA, 2010. [10] J.-L. Yang and Q. Xu. State-Sensitive X-Filling Scheme for Scan Capture Power Reduction, IEEE Transactions on Computer-Aided Design, 2008. ISSN : 0975-4024 Vol 5 No 2 Apr-May 2013 1385