Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Similar documents
LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

Design of Fault Coverage Test Pattern Generator Using LFSR

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Testing Digital Systems II

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

VLSI System Testing. BIST Motivation

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

SIC Vector Generation Using Test per Clock and Test per Scan

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design of BIST with Low Power Test Pattern Generator

Doctor of Philosophy

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

ISSN:

Diagnosis of Resistive open Fault using Scan Based Techniques

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

I. INTRODUCTION. S Ramkumar. D Punitha

Scan. This is a sample of the first 15 pages of the Scan chapter.

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Controlling Peak Power During Scan Testing

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Power Problems in VLSI Circuit Testing

VLSI Test Technology and Reliability (ET4076)

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Fault Detection And Correction Using MLD For Memory Applications

Weighted Random and Transition Density Patterns For Scan-BIST

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

FIELD programmable gate arrays (FPGA s) are widely

ECE 715 System on Chip Design and Test. Lecture 22

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Implementation of High Speed Adder using DLATCH

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Implementation of Low Power Test Pattern Generator Using LFSR

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

Overview: Logic BIST

Efficient Test Pattern Generation Scheme with modified seed circuit.

UNIT IV CMOS TESTING. EC2354_Unit IV 1

A Literature Review and Over View of Built in Self Testing in VLSI

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications

Design of Efficient Programmable Test-per-Scan Logic BIST Modules

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore.

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Survey of low power testing of VLSI circuits

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

LOW TRANSITION TEST PATTERN GENERATOR ARCHITECTURE FOR MIXED MODE BUILT-IN-SELF-TEST (BIST)

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Testing Sequential Circuits

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

ISSN (c) MIT Publications

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST )

LUT Optimization for Memory Based Computation using Modified OMS Technique

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Transactions Brief. Circular BIST With State Skipping

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Implementation of UART with BIST Technique

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

High Performance Carry Chains for FPGAs

Why FPGAs? FPGA Overview. Why FPGAs?

LFSR Counter Implementation in CMOS VLSI

Where Are We Now? e.g., ADD $S0 $S1 $S2?? Computed by digital circuit. CSCI 402: Computer Architectures. Some basics of Logic Design (Appendix B)

BIST-Based Diagnostics of FPGA Logic Blocks

WINTER 15 EXAMINATION Model Answer

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Lecture 23 Design for Testability (DFT): Full-Scan

BIST for Logic and Memory Resources in Virtex-4 FPGAs

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Transcription:

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron technology has resulted in the difficulty of VLSI testing. Test and design for testability are recognized today as critical to a successful design. Field Programmable Gate Arrays (FPGAs) have been used in many areas of digital design. Because FPGAs are reprogrammable, faults can be easily tolerated once fault sites are located. In this paper, we discuss about fault detection and fault diagnosis techniques using Built-in self test (BIST) and test pattern generators. The most of the discussion will be made using the test pattern generators. In order to generate testing pattern we aid Bit Swapping Linear Feedback Shift Register (BS-LFSR) and Low Transition- Random Test Pattern Generator (LT-RTPG). Fault detection and location will be carried out using pattern generators. VHDL is used as HDL language. Keywords:- FPGA, BIST, BS-LFSR, LT-RTPG, VHDL. I. INTRODUCTION In the digital system design implementation there may be a possibility of fault occurrence, to detect and minimize these faults in a design we use the fault detection and diagnosis techniques. We considered the FPGA digital system design to detect and reduce the faults. We can even correct these faults in a digital system design. In the previous paper [1] they presented a methodology for the diagnosis of faulty CLBs in FPGA in which they used LFSR for test pattern generation and a comparator based Output Response Analyzer (ORA) for the Built in Self Test (BIST) Architecture. In this method all the testing is done within the FPGA which prevents the use of external hardware. The complexity of the fault detection using test pattern generators will increase in the worst case, and this problem will be studied in this project. The increasing growth of sub-micron technology has resulted in the difficulty of VLSI testing. Test and design for testability are recognized today as critical to a successful design. Field Programmable Gate Arrays (FPGAs) have been used in many areas of digital design. FPGAs are Field programmable gate arrays that are regularly constructed with configurable logic blocks (CLBs) and input/output blocks (IOBs) communicated with interconnects and switches. FPGA can be configured to implement combinational or sequential logic functions. Several FPGA architectures have been developed for different applications. The most widely used type is the look up table (LUT) FPGA, in which the functional unit consists of several LUTs. This type of FPGA can be reprogrammed any number of times. Figure1: Generic FPGA architecture In order to reduce the difficulty in the complexity of test generation, one needs to model the actual defects that may occur in a chip with fault models at higher levels of abstraction. This process of fault modeling considerably reduces the burden of testing. This is due to the fact that many physical defects map to a single fault at the higher level. Faults may change the logic values at some internal lines in the integrated circuit, or they may result in a change in the voltage or current levels. They may also change the temporal behavior of the circuit. For this we detect and diagnose the faults in the design. 1204

II. PREVIOUS WORKS In order to diagnose faults, there must first be a way to test modules in FPGAs. A candidate for this purpose is the built-in self-test (BIST). This structure reconfigures part of the functional circuit to be a test pattern generator (TPG), and some other to be an output response analyzer (ORA). The rest circuit consists of the circuit under test (CUT). The TPG is either a linear feedback shift register (LFSR) that generates pseudorandom test sequences, or simply a counter that provides an exhaustive test set. The test inputs are fed to the CUT, while the output responses are collected and analyzed by the ORA. The ORA can be either a signature analyzer or a comparator-based analyzer. An FPGA is divided into disjoint sets of CLBs, where each set can be configured into a TPG and ORA as shown in figure 2. Such a set acts as a module in the PMC model since it is able to test another module and determine whether the CUT passes or fails the given test. All the CLBs under test are programmed in the same way; therefore, they perform the same logic function and could be given the same test patterns. Thus outputs of the TPG are fed to all CLBs in the set under test, and the results are analyzed by the ORA. Since each CLB can be programmed in many ways, it is not possible to test any CLB in a single test run. As a result, a complete test of all faults in a CLB usually requires several steps, and in each step a CLB is programmed in a particular way. Figure 2: Connections between testing module and set of CLBs under test III. BIT SWAPPING LFSR In recent years, the design for low power has become one of the greatest challenges in high performance very large scale integration (VLSI) design. As a consequence, many techniques have been introduced to minimize the power consumption of new VLSI systems. However, most of these methods focus on the power consumption during normal mode operation, while test mode operation has not normally been a predominant concern. However, it has been found that the power consumed during test mode operation is often much higher than during normal mode operation. This is because most of the consumed power results from the switching activity in the nodes of the circuit under test (CUT), which is much higher during test mode than during normal mode operation. Several techniques that have been developed to reduce the peak and average power dissipated during scanbased tests.[2] A direct technique to reduce power consumption is by running the test at a slower frequency than that in normal mode. This technique of reducing power consumption, while easy to implement, significantly increases the test application time. Furthermore, it fails in reducing peak-power consumption since it is independent of clock frequency. Another category of techniques used to reduce the power consumption in scanbased built-in self tests (BISTs) is by using scan chain- ordering techniques. These techniques aim to reduce the average-power consumption when scanning in test vectors and scanning out captured responses. Although these algorithms aim to reduce average-power consumption, they can reduce the peak power that may occur in the CUT during the scanning cycles, but not the capture power that may result during the test cycle (i.e., between launch and capture).the design of low transition testpattern generators (TPGs) is one of the most common and efficient techniques for low-power tests. This project presents a new TPG, called the bit swapping linear feedback shift register (BS- LFSR), that is based on a simple bit swapping technique applied to the output sequence of a conventional LFSR and designed using a conventional LFSR and a 2 1 multiplexer. The introduced BS-LFSR reduces the average and instantaneous weighted switching activity (WSA) during test operation by reducing the number of transitions in the scan input of the CUT. The introduced BS-LFSR for test-per-scan BISTs is based upon some new observations concerning the 1205

number of transitions produced at the output of an LFSR. transitions in the primary inputs of the CUT will be reduced by 25%.[3] IV. LOW TRANSITION RANDOM TEST PATTERN GENERATOR (LT-RTPG) Figure 3: Swapping arrangement for an LFSR This is a low hardware overhead test pattern generator (TPG) for scan-based BIST that can reduce switching activity in CUTs during BIST and also achieve very high fault coverage with a reasonable length of test sequence.[5] Since the correlation between consecutive vectors applied to a circuit during BIST is significantly lower, switching activity in the circuit can be significantly higher during BIST than that during its normal operation. Excessive switching activity during test application can damage CUTs during BIST. The LT-RTPG (Figure 5) reduces switching activity during BIST by reducing transitions at scan inputs. Figure 4: Bit swapping LFSR Important Properties of the BS-LFSR: There are some important features of the BSLFSR that make it equivalent to a conventional LFSR. The most important properties of the BS-LFSR are the following. 1) The BS-LFSR generates the same number of 1s and 0s at the output of multiplexers after swapping of two adjacent cells; hence, the probabilities of having a 0 or 1 at a certain cell of the scan chain before applying the test vectors are equal. Hence, the proposed design retains an important feature of any random TPG. Furthermore, the output of the multiplexer depends on three different cells of the LFSR, each of which contains a pseudorandom value. Hence, the expected value at the output can also be considered to be a pseudorandom value. 2) If the BS-LFSR is used to generate test patterns for either test per- clock BIST or for the primary inputs of a scan-based sequential circuit (assuming that they are directly accessible) as shown in Fig. 3, then consider the case that c1 will be swapped with c2 and c3 with c4,..., cn-2 with cn-1 according to the value of cn which is connected to the selection line of the multiplexers (see Figure. 4). In this case, we have the same exhaustive set of test vectors as would be generated by the conventional LFSR, but their order will be different and the overall Figure 5: Architecture of LT-RTPG During scan shift operations. The LT- RTPG is comprised of an r-stage LFSR, a K-input AND gate, and a toggle flip-flop (T flip- flop). Hence, it can be implemented with very little hardware.[3] Each of K inputs of the AND gate is connected to either a normal or an inverting output of the r LFSR stages. If large k is used, large sets of neighboring state inputs will be assigned identical values in most test patterns, resulting in the decrease fault coverage or the increase in Test sequence length. LT-RTPGs with K=2 or 3 are used. Since a T flip-flop holds previous values until the input of the T flip-flop is assigned a 1, the same value v, where v {0,1} is repeatedly scanned into the scan chain until the value at the output of the AND gate becomes 1.[7] Hence, adjacent scan flip-flops are assigned identical Values in most test patterns and scan inputs have fewer transitions during scan shift operations. Since most switching activity during scan BIST occurs during scan shift operations, thus the LT-RTPG can reduce Heat dissipation during overall scan testing. It has been observed that many faults that escape random patterns are highly correlated with each other and can be detected by continuously complementing values of a few inputs from apparent test vectors.[4] 1206

This implies that RPRFs that escape LT- RTPG test sequences can be effectively detected by fixing selected inputs to binary values specified in deterministic test cubes for these RPRFs and applying random patterns to the rest of inputs. The LT-RTPG can attain high fault coverage without excessive switching activity or large area overhead even for circuits that have large numbers of RPRFs. Where f i are the output values of the minterms obtained from the truth table; Considered Boolean function f (W, X,Y) = WX + W Y + X Y The Reed Muller expansion of the function is f (W, X,Y) = 1 X W X W Y X Y V. REED - MULLER EXPANSION TECHNIQUE This technique can be used to realize any arbitrary n-variable Boolean function using AND and EX- OR gates only.[6] Properties: 1) If the primary input leads are fault-free then at most (n+4) tests are required to detect all single stuck-at faults in the circuit. 2) If there are faults on the primary input leads as well, then the number of tests required is (n+4)+2n e, where n e is the number of input variables that appear an even number of times in the product terms of the Reed-Muller expansion. Any combinational function of n-variables can be described by a Reed-Muller expansion of the form. f(x 1,x 2, x n ) = C 0 C 1 ẋ 1 C 2 ẋ 2.. C n ẋ n n C n+1 ẋ 1 ẋ 2 C n+2 ẋ 1 ẋ 3.. C 2-1 ẋ 1 ẋ 2..ẋ n where ẋ i is either x i or x i but not both together, C i is a binary constant 0 or 1 and is the modulo sum (exclusive- OR operation). For a three variable function, the Reed Muller expansion is f (W,X,Y) = C 0 C 1 W C 2 X C 3 Y C 4 W X C 5 W Y C 6 X Y C 7 W X Y Figure 6: Reed-Muller circuit for f=wx+wy+xy VI. SIMULATION RESULTS The following results are obtained using XILINX tool. Initially we designed front end model. For testing purpose we generated TPG using LT-RTPG and BS-LFSR. The test pattern results are shown in below figure 7 and 8. The outputs of BS-LFSR are fed to the Reed-Muller circuit for testing the faults through FPGA vertex-5. BS-LFSR and LT-RTPG used here is of external type. By using this test pattern we have tested the faults and the number of transitions and the switching activity in CUT are reduced. The constants C i for a three-variable Reed-Muller expansion may be computed using the following rules: C 0 = f 0 Figure 7: BS-LFSR Simulation Result C 1 = f 0 f 4 C 2 = f 0 f 2 C 3 = f 0 f 1 C 4 = f 0 f 2 f 4 f 6 C 5 = f 0 f 1 f 4 f 5 Figure 8: LT-RTPG Simulation Result C 6 = f 0 f 1 f 2 f 3 C 7 = f 0 f 1 f 2 f 3 f 4 f 5 f 6 f 7 1207

VII. CONCLUSION REFERENCES In this paper we present a methodology for the diagnosis of faults through FPGA vertex-5. For generation of test pattern BS-LFSR and LT-RTPG are used. The main advantage of this method is testing time of the CUT (Reed-Muller circuit) mainly depends on number of faults rather than the chip size. Hence it yields more advantages while diagnosing the larger chips. Also the resolution of the fault diagnosis algorithm in interconnect testing is greatly dependent on the structure of the original application configuration. The complexity of the fault detection using test pattern generators will increase in the worst case, and this problem will be rectified using the weighted random test pattern generator (WR-TPG). It has been observed that many faults that escape random patterns are highly correlated with each other and can be detected by continuously complementing values of a few inputs from apparent test vectors. This implies that RPRFs that escape LT-RTPG test sequences can be effectively detected by fixing selected inputs to binary values specified in deterministic test cubes for these RPRFs and applying random patterns to the rest of inputs. This technique is used in the 3- weight WRBIST to achieve high fault coverage for random pattern resistant circuits. The LT-RTPG can attain high fault coverage without excessive switching activity or large area overhead even for circuits that have large numbers of RPRFs. All of the techniques described in this paper are based on the stuck-at fault model, which is most widely used fault model. Several approaches have been proposed for stuck-at fault model tests, fewer techniques of testing have been proposed despite its importance in today s system. Thus, further techniques and methodologies to address this problem should be considered in future research. Instant of LFSR we can use dual speed LFSR in future. It will generate pseudo random test patterns. It runs faster as compared to LFSR. [1] Fazal Noorbasha, K. Harikishore, Ch. Hemanth, A. Sivasairam, V. Vijaya Raju, (2012) LFSR Test Pattern For Fault Detection And Diagnosis For FPGA CLB Cells, IJAET, vol. 3, issue 1, pp. 240-246. [2] Atluri Jhansi rani, K.Harikishore, Fazal Noor Basha, J.Poornima, M.Jyothil, M.Sahithi, P.Srinivas, Fault Tolerance in bit swapping LFSR using FPGA Architecture, IJERA, Vol. 2, Issue 1,Jan-Feb 2012, pp.1080-1087. [3] C.Ravishankar Reddy, Shaik Zilani, V.Sumalatha, Low Power, Low-Transition Random Pattern Generator, IJERT, vol. 1, issue 5, July 2012, pp. 1-6. [4] Ramesh K S, Venkataramanan V, Switching Activity Reduction Using Scan Shift Operation, Journal of Computer Applications, vol. 5, Issue EICA2012-4, Feb 2012, pp. 409-419. [5] Secongman Wang Faults diagnosis for using TPG low power dissipation and high fault coverage.ieee Tranc. Vol.15 no.7, 2010. [6] Parag K.Lala Fault tolerant and fault testable hardware design Prentice-Hall, Inc. Upper Saddle River, NJ, USA 1985 ISBN:0-13- 308248-2. [7] S.Wang and S.K.Gupta, LT-RTPG: A New Test-Per-Scan BIST TPG for Low Switching Activity IEEE Trans. Computer-Aided Design In-tegr. Circuits Syst., Vol.25, no.8, pp. 1565-1574, 2006. 1208