RS flip-flop using NOR gate

Similar documents
RS flip-flop using NOR gate

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Asynchronous (Ripple) Counters

Counter dan Register

Sri Vidya College of Engineering And Technology. Virudhunagar Department of Electrical and Electronics Engineering

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

Counters

Other Flip-Flops. Lecture 27 1

Lecture 8: Sequential Logic

Experiment 8 Introduction to Latches and Flip-Flops and registers

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

Chapter 4. Logic Design

Vignana Bharathi Institute of Technology UNIT 4 DLD

MC9211 Computer Organization

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

LATCHES & FLIP-FLOP. Chapter 7

Unit 11. Latches and Flip-Flops

Module -5 Sequential Logic Design

UNIT IV. Sequential circuit

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

Logic Gates, Timers, Flip-Flops & Counters. Subhasish Chandra Assistant Professor Department of Physics Institute of Forensic Science, Nagpur

ASYNCHRONOUS COUNTER CIRCUITS

EKT 121/4 ELEKTRONIK DIGIT 1

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Scanned by CamScanner

WINTER 14 EXAMINATION

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

IT T35 Digital system desigm y - ii /s - iii

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001

Digital Logic Design ENEE x. Lecture 19

Sequential Logic and Clocked Circuits

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

ELCT201: DIGITAL LOGIC DESIGN

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

CHAPTER 4: Logic Circuits

Rangkaian Sekuensial. Flip-flop

D Latch (Transparent Latch)

Logic Design. Flip Flops, Registers and Counters

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Sequential Logic Circuit

Digital Fundamentals: A Systems Approach

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

ELE2120 Digital Circuits and Systems. Tutorial Note 8

INTRODUCTION TO SEQUENTIAL CIRCUITS

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

CHAPTER 4: Logic Circuits

CHAPTER 6 COUNTERS & REGISTERS

Digital Circuits ECS 371

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS

Chapter 8 Sequential Circuits

2. Counter Stages or Bits output bits least significant bit (LSB) most significant bit (MSB) 3. Frequency Division 4. Asynchronous Counters

CHAPTER 1 LATCHES & FLIP-FLOPS

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

Review of digital electronics. Storage units Sequential circuits Counters Shifters

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

EEE2135 Digital Logic Design Chapter 6. Latches/Flip-Flops and Registers/Counters 서강대학교 전자공학과

Chapter. Synchronous Sequential Circuits

Decade Counters Mod-5 counter: Decade Counter:

Chapter 5: Synchronous Sequential Logic

LAB #4 SEQUENTIAL LOGIC CIRCUIT

3 Flip-Flops. The latch is a logic block that has 2 stable states (0) or (1). The RS latch can be forced to hold a 1 when the Set line is asserted.

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

Experiment # 9. Clock generator circuits & Counters. Digital Design LAB

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

EKT 121/4 ELEKTRONIK DIGIT 1

CPS311 Lecture: Sequential Circuits

Synchronous Sequential Logic

Digital Logic Design I

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

Sequential Logic Circuits

Introduction to Microprocessor & Digital Logic

ELCT201: DIGITAL LOGIC DESIGN

Registers and Counters

Digital Fundamentals: A Systems Approach

Registers and Counters

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District DEPARTMENT OF INFORMATION TECHNOLOGY CS 2202 DIGITAL PRINCIPLES AND SYSTEM DESIGN

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

Engr354: Digital Logic Circuits

WINTER 15 EXAMINATION Model Answer

INC 253 Digital and electronics laboratory I

ECE 263 Digital Systems, Fall 2015

ELE2120 Digital Circuits and Systems. Tutorial Note 7

Slide 1. Flip-Flops. Cross-NOR SR flip-flop S R Q Q. hold reset set not used. Cross-NAND SR flip-flop S R Q Q. not used reset set hold 1 Q.

Introduction to Sequential Circuits

Flip-Flops and Sequential Circuit Design

BISHOP ANSTEY HIGH SCHOOL & TRINITY COLLEGE EAST SIXTH FORM CXC CAPE PHYSICS, UNIT 2 Ms. S. S. CALBIO NOTES lesson #39

Sequential Logic Counters and Registers

Sequential Logic Basics

CHAPTER1: Digital Logic Circuits

(Refer Slide Time: 2:00)

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

Transcription:

RS flip-flop using NOR gate

Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two types of triggering. 1. Edge triggering : (i) Positive edge triggering, (ii) Negative edge triggering 2. Level triggering : (i) Positive level triggering, (ii) Negative level triggering

1. Edge triggering : The circuits which change their outputs only corresponding to the positive or negative edge of the clock input are called as edge triggered circuit.

Symbol of positive edge triggered flip-flop

Symbol of Negative edge triggered flip-flop

Level triggering : The circuits which change their outputs only corresponding to the positive or negative level of the clock input are called as level triggered circuit.

Symbol of positive level triggered flip-flop

Symbol of negative level triggered flip-flop

Clocked R-S flip-flop:- R clock S

Clock

S-R flip-flop with preset and clear In the flip-flop when the power is switched on, the state of the circuit is uncertain. In many applications it is desired to initially set or reset the flip-flop, i.e. the initial state of the flipflop is to be assigned. This is accomplished by using preset (Pr) and clear (Cr) inputs.

S-R flip-flop with preset and clear

Logic symbol for S-R flip-flop with preset and clear:-

J-K flip-flop:- The forbidden state of S-R flip-flop when S = R = 1 can be eliminated by converting it into JK flip-flop. The data inputs are J and K which are ANDed with Q and Q respectively to obtain S and R inputs i.e. S = J Q R = K Q.

J-K flip-flop:-

Logic symbol:-

J-K flip-flop using NAND gate:-

for J = K = 0, Q retains its previous value. for J = 1 and K = 0 sets the flip-flop. for J = 0 and K = 1 RESETS the flip-flop. for J = K = 1 Flip flop toggles between 0 and 1

Race around condition If J = K = 1 and Q = 0 and pulse is applied at the clock input. After a time interval t equal to propagation delay the output will change to Q = 1. Now we have J = K = 1 and Q = 1 and after another time interval of t the output will change back to Q = 0. Hence, for the duration tp of the clock pulse the output will oscillate back and forth between 0 and 1. At the end of clock pulse the value of Q is uncertain. This situation referred to as a race around condition.

Master-slave J-K flip-flop:-

D-type flip-flop:-

T-type flip-flop:- Symbol of T flip-flop T = 1,

IC 7474 - Dual D-type positive edge triggered flip-flop

IC 7475 Edge triggered D-flip-flop

IC 74373 latch

EXCITATION TABLE OF FLIP-FLOP The truth table of flip-flop is also referred to as the characteristic table. In the design of sequential circuit, if the present state and next state of the circuit are specified and we have to find the input conditions that must prevail to cause the desired transition of the state. The tabulation of these conditions is known as excitation Table.

APPLICATION OF FLIP- FLOP 1.Bounce elimination switch 2. Latch 3. Registers 4. Counters 5. Memory

COUNTERS A circuit used for counting the number of pulses is known as a counter. The counters are referred to as modulo N (mod N) counter there are two types of counters. 1. Asynchronous counter (ripple counter) 2. Synchronous counter In case of asynchronous counter, all the flip-flops are not clocked simultaneously, whereas in synchronous counter all flip-flops are clocked simultaneously. Ring counter and twisted ring counters are examples of synchronous counter.

Normal binary counter counts from 0 to 2 N - 1, where N is the number flip-flops in the counter. In some cases, we want it to count to numbers other than 2 N - 1. This can be done by allowing the counter to skip states that are normally part of the counting sequence. There are a few methods of doing this. One of the most common methods is to use the CLEAR input on the flip-flops.

The 2-bit ripple counter circuit has four different states, each one corresponding to a count value. Similarly, a counter with n flip-flops can have 2 to the power n states. The number of states in a counter is known as its mod (modulo) number. Thus a 2-bit counter is a mod-4 counter. A mod-n counter may also described as a divide-byn counter. This is because the most significant flip-flop produces one pulse for every n pulses at the clock input of the least significant flip-flop. Thus, the above counter is an example of a divide-by-4 counter.

Ring counter:-

Waveforms of ring counter

Twisted Ring Counter In ring counter, if Q1 is connected to serial input instead of Q1 then the circuit is called as twisted ring counter. The flipflops are cleared first and then clock is applied.

Design a 3-bit synchronous counter using JK flipflop To design this counter, 3 flip-flops are required and 8 states are present. The excitation table of flip-flop can be written as

The k-maps and simplified expressions for all the flip-flops are as follows - Thus, the simplified equations are J C = Q B Q A K C = Q B Q A J B = Q A K B = Q A J A = 1 K A = 1

3-bit synchronous counter using JK FF

Design 3 bit synchronous counter using T flip-flops A 3 bit counter goes through 8 states thus it requires three flip-flops. The excitation table of T flip-flop is given as -

ASYNCHRONOUS COUNTER-up counter

Count sequence

Timing diagram of 3 bit asynchronous counter-up counter

Asynchronous Down Counters

Timing diagram of 3 bit asynchronous counter-down counter

A 3 bit asynchronous up-down counter

STUDY OF IC 7490:-

Timing diagram:-

Count sequence:-

Design a MOD 6 asynchronous counter using IC 7490.

MOD 20 counter using IC 7490

Design MOD 8 counter using IC 7490

APPLICATIONS OF COUNTERS 1. In digital clock. 2. In time measurement. 3. In the frequency counters. 4. In digital voltmeters. 5. In counter type A/D converter. 6. In digital triangular wave generator. 7. In frequency divider circuits.

COMPARISON OF SYNCHRONOUS AND ASYNCHRONOUS COUNTER

COMPARISON OF COUNTERS AND REGISTERS:-

DISADVANTAGE OF RIPPLE COUNTERS Every flip-flop has its own propagation delay. In ripple counter the output of previous flip-flop is used as clock for next flip-flop. Thus, the propagation delay goes on accumulating. Thus, as the number of flip-flops goes on increasing, the propagation delay increases. The frequency of clock pulse for reliable operations of counter is given by