ISSN:

Similar documents
An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Implementation of Low Power and Area Efficient Carry Select Adder

An Efficient Carry Select Adder

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

Implementation of High Speed Adder using DLATCH

128 BIT MODIFIED CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER

DESIGN OF HIGH PERFORMANCE, AREA EFFICIENT FIR FILTER USING CARRY SELECT ADDER

Design of Carry Select Adder using Binary to Excess-3 Converter in VHDL

Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder

Research Article Low Power 256-bit Modified Carry Select Adder

Design and Implementation of Low-Power and Area-Efficient for Carry Select Adder (Csla)

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

FPGA IMPEMENTATION OF LOW POWER AND AREA EFFICIENT CARRY SELECT ADDER

Improved 32 bit carry select adder for low area and low power

FPGA Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of efficient carry select adder on FPGA

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Modified128 bit CSLA For Effective Area and Speed

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Parjoona V. and P. Manimegalai

Efficient Implementation of Multi Stage SQRT Carry Select Adder

DESIGN OF LOW POWER AND HIGH SPEED BEC 2248 EFFICIENT NOVEL CARRY SELECT ADDER

Design of Modified Carry Select Adder for Addition of More Than Two Numbers

An MFA Binary Counter for Low Power Application

An Efficient High Speed Wallace Tree Multiplier

A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3

Design and Analysis of Modified Fast Compressors for MAC Unit

Research Article VLSI Architecture Using a Modified SQRT Carry Select Adder in Image Compression

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS

LUT Optimization for Memory Based Computation using Modified OMS Technique

International Journal of Engineering Research-Online A Peer Reviewed International Journal

Distributed Arithmetic Unit Design for Fir Filter

High Performance Carry Chains for FPGAs

Design of Memory Based Implementation Using LUT Multiplier

Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block

Midterm Exam 15 points total. March 28, 2011

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

A Parallel Area Delay Efficient Interpolation Filter Architecture

Chapter 8 Functions of Combinational Logic

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

THE USE OF forward error correction (FEC) in optical networks

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

Low Power Area Efficient Parallel Counter Architecture

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Design of BIST with Low Power Test Pattern Generator

Memory efficient Distributed architecture LUT Design using Unified Architecture

Chapter Contents. Appendix A: Digital Logic. Some Definitions

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

An Enhancement of Decimation Process using Fast Cascaded Integrator Comb (CIC) Filter

Encoders and Decoders: Details and Design Issues

ALONG with the progressive device scaling, semiconductor

An Efficient Reduction of Area in Multistandard Transform Core

Design and Simulation of Modified Alum Based On Glut

Inside Digital Design Accompany Lab Manual

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

gate symbols will appear in schematic Dierent of a circuit. Standard gate symbols have been diagram Figures 5-3 and 5-4 show standard shapes introduce

MODULE 3. Combinational & Sequential logic

An Improved Recursive and Non-recursive Comb Filter for DSP Applications

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Aging Aware Multiplier with AHL using FPGA

Chapter 3. Boolean Algebra and Digital Logic

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

FPGA Implementation of DA Algritm for Fir Filter

Subject : EE6301 DIGITAL LOGIC CIRCUITS

Implementation of Memory Based Multiplication Using Micro wind Software

Combinational Logic Design

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

CAD for VLSI Design - I Lecture 38. V. Kamakoti and Shankar Balachandran

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Dynamic Power Reduction in Sequential Circuit Using Clock Gating

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

SA4NCCP 4-BIT FULL SERIAL ADDER

6.3 Sequential Circuits (plus a few Combinational)

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

Low-Power Near-Explicit 5:2 Compressor for Superior Performance Multipliers

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Principles of Computer Architecture. Appendix A: Digital Logic

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Half-Adders. Ch.5 Summary. Chapter 5. Thomas L. Floyd

Guidance For Scrambling Data Signals For EMC Compliance

A Novel Architecture of LUT Design Optimization for DSP Applications

Midterm Examination II

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

Transcription:

427 AN EFFICIENT 64-BIT CARRY SELECT ADDER WITH REDUCED AREA APPLICATION CH PALLAVI 1, VSWATHI 2 1 II MTech, Chadalawada Ramanamma Engg College, Tirupati 2 Assistant Professor, DeptofECE, CREC, Tirupati 1 Pallavijanach@gmailcom, 2 vennapusaswathi@gmailcom ABSTRACT Design of area, high speed and power-efficient data path logic systems forms the largest areas of research in VLSI system design In digital adders, the speed of addition is limited by the time required to transmit a carry through the adder Carry Select Adder (CSLA) is one of the fastest adders used in many data-processing processors to perform fast arithmetic functions From the structure of the CSLA, it is clear that there is scope for reducing the area and delay in the CSLA This work uses a simple and an efficient gate-level modification (in regular structure) which drastically reduces the area and delay of the CSLA Based on this modification 8, 16, 32, and 64-bit square-root Carry Select Adder (SQRT CSLA) architectures have been developed and compared with the regular SQRT CSLA architecture The proposed design has reduced area and delay to a great extent when compared with the regular SQRT CSLA This work estimates the performance of the proposed designs with the regular designs in terms of delay; area and synthesis are implemented in Xilinx FPGA The results analysis shows that the proposed SQRT CSLA structure is better than the regular SQRT CSLA Keywords: Area Efficient, SQRT CSLA, VLSI and Data paths, Delay 1 INTRODUCTION Reduced area and high speed data path logic systems are the main areas of research in VLSI system design High-speed addition and multiplication has always been a fundamental requirement of high-performance processors and systems In digital adders, the speed of addition is limited by the time required to propagate a carry through the adder The sum for each bit position in an elementary adder is generated sequentially only after the previous bit position has been summed and a carry propagated into the next position [3] There are many types of adder designs available (Ripple Carry Adder, Carry Look Ahead Adder, Carry Save Adder, Carry Skip Adder) which have its own advantages and disadvantages The major speed limitation in any adder is in the production of carries and many authors considered the addition problem To solve the carry propagation delay CSLA is developed which drastically reduces the area and delay to a great extent The CSLA is used in many computational systems design to moderate the problem of carry propagation delay by independently generating multiple carries and then select a carry to generate the sum[1] It uses independent ripple carry adders (for Cin=0 and Cin=1) to generate the resultant sum However, the Regular CSLA is not area and speed efficient because it uses multiple pairs of Ripple Carry Adders (RCA) to generate partial sum and carry by considering carry input The final sum and carry are selected by the multiplexers (mux) Due to the use of two independent RCA the area will increase which leads an increase in delay To overcome the above problem, the basic idea of the proposed work is to use n-bit binary to excess-1 code converters (BEC) to improve the speed of addition This logic can be replaced in RCA for Cin=1 to further improves the speed and thus reduces the delay Using Binary to Excess-1 Converter (BEC) instead of RCA in the regular CSLA will achieve lower area, delay which speeds up the addition operation [2-4] The main advantage of this BEC logic comes from the lesser number of logic gates than the Full Adder (FA) structure because the number of gates used will be decreased This work in brief is structured as follows Section 2 deals with the delay and area evaluation methodology of the basic adder blocks and its corresponding delay and area values [5-6] Section 3 deals with the structure and function of BEC logic and its corresponding function table and logic equations Section 4 presents the architecture of the Regular CSLA of 64-bits This SQRT CSLA has been developed using ripple carry adders and multiplexers The architecture of the Modified SQRT CSLA is presented in Sections 5 In section 6 implementation methodologies and corresponding design tools are explained and finally the paper is concluded in section 7 2 BASIC ADDER BLOCK The adder block using a Ripple carry adder, BEC and Mux is explained in this section In this we calculate and explain the delay & area using the theoretical approach and show how the delay and area effect the total implementation The AND, OR, and Inverter (AOI) implementation of an XOR gate is shown in Fig 1 The delay and area evaluation methodology considers all gates to be made up of AND, OR, and Inverter, each having delay equal to 1 unit and area equal to 1 unit We then add up the number of gates in the longest path of a logic block that contributes to the maximum delay The area evaluation is done by counting the total number of

428 AOI gates required for each logic block Based on this approach, the blocks of 2:1 mux, Half Adder (HA), and FA are evaluated and listed in Table I Fig1: Delay and Area Evaluation of XOR TABLE 1: Delay and Area Evaluation of CSLA Adder Delay Area Blocks XOR 3 5 2:1 MUX 3 4 Half Adder 3 6 Full Adder 6 13 Fig 2: 6-bit BEC with 12:6 mux Fig 2 shows the basic 6-bit addition operation which includes 6-bit data, a 6-bit BEC logic and 12:6 mux The addition operation is performed for Cin=0 and for Cin=1For Cin=0 the addition is performed using ripple carry adder and for Cin=1 the operation is performed using 6-bit BEC (replacing the RCA for Cin=1) The resultant is selected based on Carry in signal from the previous group The total delay depends on mux delay and Cin signal from previous group 3 BINARY TOEXCESS-1 CONVERTER The basic work is to use Binary to Excess-1 Converter (BEC) in the regular CSLA to achieve lower area and increased speed of operation This logic is replaced in RCA with Cin=1 This logic can be implemented for different bits which are used in the modified design The main advantage of this BEC logic comes from the fact that it uses lesser number of logic gates than the n-bit Full Adder (FA) structure As stated above the main idea of this work is to use BEC instead of the RCA with Cin=1 in order to reduce the area and increase the speed of operation in the regular CSLA to obtain modified CSLA To replace the n-bit RCA, an n+1 bit BEC logic is required The structure and the function table of a 6-bit BEC are shown in Figure3 and Table 2, respectively Fig 3: 6-bit BEC Structure

429 TABLE 2: Function Table Of The 6-bit BEC B[5:0] X[5:0] 000000 000001 111111 000001 000010 000000 The Boolean expressions for the 6-bit BEC logic are expressed below X0=~B0 X1=B0^B1 X2=B2^ (B0&B1) X3=B3^ (B0&B1&B2) X4=B4^ (B0&B1&B2&B3) X5=B5^ (B0&B1&B2&B3&B4) Fig 4: Architecture of Regular 64-bit SQRT CSLA 4 ARCHITECTURE OF REGULAR 16-BIT SQRT CSLA A 16-bit carry select adder can be developed in two different sizes namely uniform block size and variable block size Similarly a 32, 64 and 128-bit can also be developed in two modes of different block sizes Ripple-carry adders are the simplest and most compact full adders, but their performance is limited by a carry that must propagate from the least-significant bit to the most-significant bit The various 16, 32, and 64-bit CSLA can also be developed by using ripple carry adders The speed of a carry-select adder can be improved up to 40% to 90%, by performing the additions in parallel, and reducing the maximum carry delay Fig 4 shows the Regular structure of 64-bit SQRT CSLA It includes many ripple carry adders of variable sizes which are divided into groups Group 0 contains 2-bit RCA which contains only one ripple carry adder which adds the input bits and the input carry and results to sum [1:0] and the carry out The carry out of the Group 0 which acts as the selection input to mux which is in group 1, selects the result from the corresponding RCA (Cin=0) or RCA (Cin=1) Similarly the remaining groups will be selected depending on the Cout from the previous groups In Regular CSLA, there is only one RCA to perform the addition of the least significant bits [1:0] The remaining bits (other than LSBs), the addition is performed by using two RCAs corresponding to the one assuming a carry-in of 0, the other a carry-in of 1 within a group In a group, there are two RCAs that receives the same data inputs but different Cin The upper adder has a carry-in of 0, the lower adder a carry-in of 1 The actual Cin from the preceding sector selects one of the two RCAs That is, as shown in the Fig4, if the carry-in is 0, the sum and carry-out of the upper RCA is selected, and if the carry-in is 1, the sum and carry-out of the lower RCA is selected For this Regular CSLA architecture, the implementation code, for the Full Adders and Multiplexers of different sizes (6:3, 8:4, up to 24:11) were designed initially The regular 16-bit, 32-bit & 64-bit CSLA were implemented by calling the ripple carry adders and all multiplexers 5 ARCHITECTURE OF MODIFIED 64-BIT SQRT CSLA This architecture is similar to regular 64-bit SQRT CSLA, the only change is that, we replace RCA with Cin=1 among the two available RCAs in a group with a BEC This BEC has a feature that it can perform the similar operation as that of the replaced RCA with Cin=1 Fig 5 shows the Modified block diagram of 64-bit SQRT CSLA The number of bits required for BEC logic is 1 bit more than the RCA bits [7] The modified block

430 diagram is also divided into various groups of variable sizes of bits with each group having the ripple carry adders, BEC and corresponding mux As shown in the Fig5, Group 0 contain one RCA only which is having input of lower significant bit and carry in bit and produces result of sum [1:0] and carry out which is acting as mux selection line for the next group, similarly the procedure continues for higher groups but they includes BEC logic instead of RCA with Cin=1Based on the consideration of delay values, the arrival time of selection input C1 of 6:3 mux is earlier than the sum of RCA and BEC For remaining groups the selection input arrival is later than the RCA and BEC Thus, the sum1 and c1 (output from mux) are depending on mux and results computed by RCA and BEC respectively The sum2 depends on c1 and mux For the remaining parts the arrival time of mux selection input is always greater than the arrival time of data inputs from the BEC s Thus, the delay of the remaining MUX depends on the arrival time of mux selection input and the mux delay In this architecture, the implementation code for Full Adder and Multiplexers of 6:3, 8:4, and up to 24:11 were designed The design code for the BEC was designed by using NOT, XOR and AND gates Then 2, 3, 4, 5 up to 11-bit ripple carry adder was designed Fig 5: Architecture of Modified 64-bit SQRT CSLA TABLE 3: Comparison of the Regular and Modified SQRT CSLA Word size Adder Delay(ns) Area(um 2 ) 8-bit Regular CSLA 1719 1958 991 895 16-bit Regular CSLA 2775 3048 2272 1929 32-bit Regular CSLA 5137 4783 64-bit Regular CSLA 5482 9174 9519 3985 9916 8183 6 RESULTS The implemented design in this work has been simulated using Verilog-HDL (Modelsim) The adders (of various sizes 8, 16, 32, and 64) are designed and simulated using Modelsim All the V files (Regular and modified) are also simulated in Modelsim and corresponding results are compared After simulation the different size codes are synthesized using Xilinx ISE 142i The simulated V files are imported into the synthesized tool and corresponding values of delay and area are noted The synthesized reports contain area and delay values for different sized adders The similar design flow is followed for both the regular and modified SQRT CSLA of different sizes Table3 shows the comparison of regular and modified CSLA of various bits which includes Delay and area comparisons From the table it is clear that the delay decreases for 8-bit modified method when compared with regular method Similarly the table also shows the comparison for the various 16, 32, and 64 bits The comparative values of areas shows that the number of LUT will be more for modified method for the 8, 16, and 32 This value decreases gradually for 64- bits For 128 bits the value almost equal to regular method which will reduces more for still higher order bits Thus the modified method decreases the delay and also area to a great extent 7 CONCLUSION An efficient approach is proposed in this paper to reduce the area and delay of SQRT CSLA architecture The reduction in the number of gates is obtained by simply replacing the RCA with BEC in the structure The reduced number of gates of this work offers the great advantage in the reduction of area, delay and also the total power The compared results shows that the modified SQRT CSLA has a reduced area & less delay compared to

431 regular SQRT CSLA Thus the results shows that using modified method the area and delay will decrease thus leads to good alternative for adder implementation for many data processing processors to perform fast Arithmetic functions Therefore, the modified CSLA architecture is used for low area, low power, simple and efficient for VLSI hardware implementation In future, the design can be further implemented for higher number of bits ACKNOWLEDGMENT ChPallavi would like to thank Mrs V Swathi, Assistant professor of ECE Department Who had been guiding throughout the project and supporting me in giving technical ideas about the paper and motivating me to complete the work effectively and successfully REFERENCES [1] O J Bedrij, Carry-Select Adder, IRE transactions on Electronics Computers, volec-11, pp 340-346, June1962 [2] BRamkumar, Harish M Kittur and PMahesh Kannan, ASIC implementation of Modified Faster Carry Save Adder, European Journal of Scientific Research, vol42, pp53-58, 2010 [3] TYCeiang and M-J Hsiao Carry-Select Adder using single Ripple-Carry Adder, Electronics letters, vol34, pp2101-2103, October 1998 [4] Y Kim and L-S Kim, 64-bit carry-select adder with reduced area, Electronics Letters, vol37, issue 10, pp614-615, May 2001 [5] J M Rabaey, Digital Integrated Circuits- A Design Perspective, New Jersey, Prentice-Hall, 2001 Adder, Electronics letters, vol34, pp2101-2103, October 1998 [6] Y He, C H Chang, and J Gu, An Area Efficient 64-bit Square root carry select adder for Low power Applications, in Proc IEEE Int Symp Circuits Syst2005, vol 4, pp 4082-4085 [7] Akhilesh Tyagi, A Reduced Area Scheme for Carry-Select Adders, IEEE International Conference on Computer design, pp255-258, Sept 1990