Unit V Design for Testability

Similar documents
Digital Integrated Circuits Lecture 19: Design for Testability

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic Testing. Logic Verification. Testing

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Scan. This is a sample of the first 15 pages of the Scan chapter.

Slide Set 14. Design for Testability

Lecture 18 Design For Test (DFT)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Overview: Logic BIST

7 Nov 2017 Testing and programming PCBA s

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testing Digital Systems II

Using on-chip Test Pattern Compression for Full Scan SoC Designs

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

K.T. Tim Cheng 07_dft, v Testability

Testing Sequential Circuits

Lecture 23 Design for Testability (DFT): Full-Scan

TKK S ASIC-PIIRIEN SUUNNITTELU

Design for Testability

Testing Digital Systems II

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

At-speed Testing of SOC ICs

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

VLSI System Testing. BIST Motivation

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Tools to Debug Dead Boards

the Boundary Scan perspective

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Chapter 8 Design for Testability

Design of Fault Coverage Test Pattern Generator Using LFSR

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Simulation Mismatches Can Foul Up Test-Pattern Verification

ECE 715 System on Chip Design and Test. Lecture 22

This Chapter describes the concepts of scan based testing, issues in testing, need

Using the XC9500/XL/XV JTAG Boundary Scan Interface

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

CSE 352 Laboratory Assignment 3

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Product Update. JTAG Issues and the Use of RT54SX Devices

Saving time & money with JTAG

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

New Directions in Manufacturing Test

Section 24. Programming and Diagnostics

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Section 24. Programming and Diagnostics

BSDL Validation: A Case Study

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p.

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

IIIHIII III. Signal in. BIST ShiftDR United States Patent (19) Tsai et al. Out Mode Signal out. mclockdr. SCOn

Testing Digital Systems II

Avoiding False Pass or False Fail

VLSI Test Technology and Reliability (ET4076)

At-speed testing made easy

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Sharif University of Technology. SoC: Introduction

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3)

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Computer Systems Architecture

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials

Department of Information Technology and Electrical Engineering. VLSI III: Test and Fabrication of VLSI Circuits L.

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

ECE321 Electronics I

Chapter 5 Flip-Flops and Related Devices

Comparing JTAG, SPI, and I2C

Post Silicon Electrical Validation Lecture 2. Tony Muilenburg

of Boundary Scan techniques.

VirtualScan TM An Application Story

3. Configuration and Testing


Sequential Design Basics

Diagnosis of Resistive open Fault using Scan Based Techniques

Copyright 2011 by Enoch Hwang, Ph.D. and Global Specialties. All rights reserved. Printed in Taiwan.

Remote Diagnostics and Upgrades

XJTAG DFT Assistant for

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Computer Architecture and Organization

Advances in VLSI Testing at MultiGb per Second Rates

An Experiment to Compare AC Scan and At-Speed Functional Testing

Chip-Level DFT: Some New, And Not So New, Challenges

Comparing Functional and Structural Tests

Project 6: Latches and flip-flops

Transcription:

Unit V Design for Testability

Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2

Testing Testing is one of the most expensive parts of chips Logic verification accounts for > 50% of design effort for many chips Debug time after fabrication has enormous opportunity cost Shipping defective parts can sink a company Example: Intel FDIV bug Logic error not caught until > 1M units shipped Recall cost $450M (!!!) Slide 3

Logic Verification Does the chip simulate correctly? Usually done at HDL level Verification engineers write test bench for HDL Can t test all cases Look for corner cases Try to break logic design Ex: 32-bit adder Test all combinations of corner cases as inputs: 0, 1, 2, 2 31-1, -1, -2 31, a few random numbers Good tests require ingenuity Slide 4

Silicon Debug Test the first chips back from fabrication If you are lucky, they work the first time If not Logic bugs vs. electrical failures Most chip failures are logic bugs from inadequate simulation Some are electrical failures Crosstalk Dynamic nodes: leakage, charge sharing Ratio failures A few are tool or methodology failures (e.g. DRC) Fix the bugs and fabricate a corrected chip Slide 5

Shmoo Plots How to diagnose failures? Hard to access chips Picoprobes Electron beam Laser voltage probing Built-in self-test Shmoo plots Vary voltage, frequency Look for cause of electrical failures Slide 6

Shmoo Plots How to diagnose failures? Hard to access chips Picoprobes Electron beam Laser voltage probing Built-in self-test Shmoo plots Vary voltage, frequency Look for cause of electrical failures Slide 7

Manufacturing Test A speck of dust on a wafer is sufficient to kill chip Yield of any chip is < 100% Must test chips after manufacturing before delivery to customers to only ship good parts Manufacturing testers are very expensive Minimize time on tester Careful selection of test vectors Slide 8

Testing Your Chips If you don t have a multimillion dollar tester: Build a breadboard with LED s and switches Hook up a logic analyzer and pattern generator Or use a low-cost functional chip tester Slide 9

TestosterICs Ex: TestosterICs functional chip tester Designed by clinic teams and David Diaz at HMC Reads your IRSIM test vectors, applies them to your chip, and reports assertion failures Slide 10

Stuck-At Faults How does a chip fail? Usually failures are shorts between two conductors or opens in a conductor This can cause very complicated behavior A simpler model: Stuck-At Assume all failures cause nodes to be stuck-at 0 or 1, i.e. shorted to GND or V DD Not quite true, but works well in practice Slide 11

Examples Slide 12

Observability & Controllability Observability: ease of observing a node by watching external output pins of the chip Controllability: ease of forcing a node to 0 or 1 by driving input pins of the chip Combinational logic is usually easy to observe and control Finite state machines can be very difficult, requiring many cycles to enter desired state Especially if state transition diagram is not known to the test engineer Slide 13

Test Pattern Generation Manufacturing test ideally would check every node in the circuit to prove it is not stuck. Apply the smallest sequence of test vectors necessary to prove each node is not stuck. Good observability and controllability reduces number of test vectors required for manufacturing test. Reduces the cost of testing Motivates design-for-test Slide 14

Test Example A 3 A 2 A 1 A 0 n1 n2 n3 Y SA1 SA0 A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 15

Test Example SA1 SA0 A 3 {0110} {1110} A 2 A 1 A 0 n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 16

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 A 0 n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 17

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 18

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 19

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 20

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 {0110} {0100} n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 21

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 {0110} {0100} n3 {0101} {0110} Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: Slide 22

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 {0110} {0100} n3 {0101} {0110} Y {0110} {1110} A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: {0100, 0101, 0110, 0111, 1010, 1110} Slide 23

Design for Test Design the chip to increase observability and controllability If each register could be observed and controlled, test problem reduces to testing combinational logic between registers. Better yet, logic blocks could enter test mode where they generate test patterns and report the results automatically. Slide 24

Scan Convert each flip-flop to a scan register Only costs one extra multiplexer Normal mode: flip-flops behave as usual SCAN SI D Scan mode: flip-flops behave as shift register scan-in CLK Q Contents of flops can be scanned out and new values scanned in inputs Logic Cloud Logic Cloud scan out outputs Slide 25

Scannable Flip-flops SCAN (a) D SI SCAN 0 1 CLK Q D SI X Q Q (b) d SCAN d D d X Q Q s (c) s SI s Slide 26

Built-in Self-test Built-in self-test lets blocks test themselves Generate pseudo-random inputs to comb. logic Combine outputs into a syndrome With high probability, block is fault-free if it produces the expected syndrome Slide 27

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 2 3 4 5 6 7 Slide 28

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 3 4 5 6 7 Slide 29

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 4 5 6 7 Slide 30

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 5 6 7 Slide 31

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 6 7 Slide 32

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 001 6 7 Slide 33

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 001 6 011 7 Slide 34

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 001 6 011 7 111 (repeats) Slide 35

BILBO Built-in Logic Block Observer Combine scan with PRSG & signature analysis D[0] D[1] D[2] C[0] C[1] SI 1 Q[2] / SO 0 Q[0] Q[1] PRSG Logic Cloud Signature Analyzer MODE C[1] C[0] Scan 0 0 Test 0 1 Reset 1 0 Normal 1 1 Slide 36

Boundary Scan Testing boards is also difficult Need to verify solder joints are good Drive a pin to 0, then to 1 Check that all connected pins get the values Through-hold boards used bed of nails SMT and BGA boards cannot easily contact pins Build capability of observing and controlling pins into each chip to make board test easier Slide 37

Boundary Scan Example Package Interconnect CHIP B CHIP C Serial Data Out CHIP A CHIP D IO pad and Boundary Scan Cell Serial Data In Slide 38

Boundary Scan Interface Boundary scan is accessed through five pins TCK: test clock TMS: test mode select TDI: test data in TDO: test data out TRST*: test reset (optional) Chips with internal scan chains can access the chains through boundary scan for unified test strategy. Slide 39

Summary Think about testing from the beginning Simulate as you go Plan for test after fabrication If you don t test it, it won t work! (Guaranteed) Slide 40