Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Similar documents
Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

SIC Vector Generation Using Test per Clock and Test per Scan

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Scan. This is a sample of the first 15 pages of the Scan chapter.

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Design of Fault Coverage Test Pattern Generator Using LFSR

Controlling Peak Power During Scan Testing

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

I. INTRODUCTION. S Ramkumar. D Punitha

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Weighted Random and Transition Density Patterns For Scan-BIST

A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing

Power Problems in VLSI Circuit Testing

Efficient Test Pattern Generation Scheme with modified seed circuit.

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

DESIGN OF LOW POWER TEST PATTERN GENERATOR

ISSN:

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

K.T. Tim Cheng 07_dft, v Testability

On Reducing Both Shift and Capture Power for Scan-Based Testing

Launch-on-Shift-Capture Transition Tests

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Power Optimization by Using Multi-Bit Flip-Flops

Diagnosis of Resistive open Fault using Scan Based Techniques

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Lecture 23 Design for Testability (DFT): Full-Scan

VLSI System Testing. BIST Motivation

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An FPGA Implementation of Shift Register Using Pulsed Latches

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Survey of low power testing of VLSI circuits

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

At-Speed Transition Fault Testing With Low Speed Scan Enable

Design for Testability

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

High-Frequency, At-Speed Scan Testing

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

A Literature Review and Over View of Built in Self Testing in VLSI

Multi-Scan Architecture with Scan Chain Disabling Technique for Capture Power Reduction

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Implementation of Scan Insertion and Compression for 28nm design Technology

At-speed Testing of SOC ICs

Low Power Estimation on Test Compression Technique for SoC based Design

Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore.

Clock Gate Test Points

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

A Low Power Delay Buffer Using Gated Driver Tree

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

This Chapter describes the concepts of scan based testing, issues in testing, need

Design of BIST with Low Power Test Pattern Generator

Overview: Logic BIST

Simulation Mismatches Can Foul Up Test-Pattern Verification

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

An Efficient Reduction of Area in Multistandard Transform Core

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Fault Detection And Correction Using MLD For Memory Applications

Multiple Scan Methodology for Detection and Tuning Small Delay paths

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Design for test methods to reduce test set size

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

A New Low Energy BIST Using A Statistical Code

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Enhanced Launch-Off-Capture Transition Fault Testing

Survey of Test Vector Compression Techniques

Design of Testable Reversible Toggle Flip Flop

Low Power Area Efficient Parallel Counter Architecture

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

An Experiment to Compare AC Scan and At-Speed Functional Testing

Transcription:

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur, Tamilnadu, India 1,2,3,4 Assistant Professor, Department of ECE, ACE College, Hosur, Tamilnadu, India 5 ABSTRACT: The testing architecture is mainly used in all type of real world application architectures and thus the architecture is to modified based on the enhancement purpose.the VLSI technology is to optimize the any type of testing architecture.so the modification process is used to the VLSI technology.nowadays the design and testability process is mainly important for the any large screening VLSI circuits. In existing system they develop the different DfT mechanisms,one for launch off shift,one for launch off capture and one for mixed at speed testing.because more power consume the launch- off capture and launch off shift operation in VLSI testing circuits.our proposed system is T algorithm based enhance test sequence architecture.t-algorithm is used to identify the fault in the circuit and reduce the clock function.a scan sequence for one block is likely to detect fault in other blocks.so we optimize the test pattern using modified algorithm.it does not require scan enable to change the speed.it reduce the power consumption. KEYWORDS: T-algorithm,Launch off capture,launch off shift I. INTRODUCTION The purpose of testing is to discover errors. Testing is the process of trying to discover every conceivable fault or weakness in a work product.it provides a way to check the functionality of components, sub-assemblies, assemblies and/or a finished product. It is the process of exercising software with the intent of ensuring that the Software system meets its requirements and user expectations and does not fail in an unacceptable manner. There are various types of test. Each test type addresses a specific testing requirement. High-quality screening of VLSI circuits by targeting performance-related faults.it is scan based testing sequence using T-algorithm.The scanning sequence first target the faults and detect the fault in the circuit using T- algorithm.it reduce peak power. DESIGN FOR TESTABILITY METHOD: II. RELATED WORK The proposed system is used to the T-algorithm, to optimize the testing architecture for the required test patterns and to develop the accuracy for testing architecture. The proposed system consists of the secure testing architecture and includes the sticky comparator architecture. So the modification process applied by the sticky comparator and over all architecture. The proposed technique to check the scanning results for the testing process. Inserting scan into a secure design implies new approaches of the technique eventually; applying ones of these counter measures has also proven that at a suitable cost, scan and security can live together. In this paper we do not give the expected outputs. But in the scan circuit to generate the expected values by using TPG. Here linear feedback circuit used for TPG (Test pattern generator). The proposed Copyright to IJIRSET www.ijirset.com 264

approach is based on the idea of withholding information. The idea is to compare test responses within the chip. Both input vectors and expected responses are scanned into the circuit and the compare between expected and actual responses is done at vector level. It does not provide information on the value of every individual scan bit for security purposes. In this paper we proposed a novel DfT technique for scan design to ensure security not including relying on costly test infrastructures to switch from mission to test modes. Design for testability (DFT) makes it possible to: Assure the detection of all faults in a circuit Reduce the cost and time associated with test development Reduce the execution time of performing test on fabricated chips we will focus on DFT techniques for digital logic, although it is relevant for memory and analog/mixed-signal components as well. An example chip level DFT technique is called Built-in self-test (BIST) (used for digital logic and memory.) At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. DFT for other fault models, e.g., delay faults, is described in the literature. LAUNCH-OFF-CAPTURE : The test pattern is to apply the launch and capture process during the testing operation. To implement the launch off capture block into the load state. Region interface registers can be restored back to their load state upon launch and capture. DfT support that can restore the load state in interface registers in between the launch and capture operations in the design regions. Main transition fault ATPG methodologies are Launch on Capture and Launch on Shift (also known as broadside-load and skewed-load respectively). They both launch transition at the input of combinational block in different way for the same fault detection. Two vectors V1 and V2 are used to perform transition delay fault testing. Here describes the LOC waveform. As illustrated, last shift of scan chain initialize the inputs of combinational block and first functional clock is used to launch transition in the combination block. Then scan enable signal would assert. Example, for scan chain having N scan-length, in LOC, first vector of N bit is loaded in to scan chain by N slow clock. Then two fast clocks (functional clock) are used to launch and capture transition into and from the combinational block. Again scan chain unloads with N slow clocks. Here scan enable signal transit from high to low after last shift of loading process. Capture-safety, defined as the avoidance of any timing error due to unduly high launch switching activity in capture mode during at-speed scan testing, is critical for avoiding test induced yield loss. Although point techniques are available for reducing capture IR-drop, there is a lack of complete capture-safe test generation flows. The paper addresses this problem by proposing a novel and practical capture-safe test generation scheme, featuring reliable capture-safety checking and effective capture-safety improvement by combining X-bit identification & X-filling with low launch switching- activity test generation. This scheme is compatible with existing ATPG flows, and achieves capture-safety with no changes in the circuit-under-test or the clocking scheme. Copyright to IJIRSET www.ijirset.com 265

Fig: Timing Diagram of Launch-Off Capture Scan testing has been the most widely adopted test strategy, which uses the full-scan methodology for circuit design, automatic test pattern generation (ATPG) for test data creation, and automatic test equipment (ATE) for test execution. Recently, at-speed scan testing has become mandatory in achieving high test quality for deep submicron (DSM) circuits by detecting timing-related defects.the concept of at-speed scan testing based on the launch-off-capture (LOC) clocking scheme. In shift mode (SE = 1), a test vector is applied by operating scan chains as shift registers over multiple shift clock pulses, with SL as the last shift clock pulse. In capture mode (SE = 0), two capture pulses are applied: C1 (launch capture) for launching a transition at the start-point of a path and C2 (response capture) for capturing the circuit response to the launched transition at the end- point of the path. Note that the test cycle is equal to the rated clock cycle in at- speed scan testing, which is very short for a high-speed design. Conventionally, high quality and low cost have been two basic requirements for scan test vectors. Test quality can be improved by increasing fault coverage, using realistic fault models, and testing for small-delay defects; while test cost can be reduced by test compression. Over the past decade, low test power, especially in the context of heat dissipation, has also become an important requirement. Recently, a new requirement, called capture-safety, has emerged and is rapidly becoming mandatory for at-speed scan test vectors. It is also referred to as supply-voltage noise- safety or power-safety. The launch capture (C1) may cause high launch switching activity (LSA). This may lead to excessive IR-drop, which significantly increases path delay so that timing errors occur at C2 only during at-speed scan testing. Such test-induced yield loss is rapidly worsening due to shrinking feature sizes, growing gate counts, increasing clock frequencies, and decreasing supply voltages. Capture-safety is required for at-speed scan test vectors to avoid test-induced yield loss. This paper proposed a novel and practical capture-safe test generation scheme, featuring a set of metrics for reliable capture-safety checking and a hybrid flow for effective capture-safety improvement. Its major advantage is that no circuit modification or clocking change is needed. Experiments have validated the metrics and demonstrated the effectiveness of the flow. Experiments on more industrial circuits are being conducted to fully quantify the correlation between capture-safety and the new metrics. This also helps in reducing over-pessimistic calls in metric-based capture-safety checking. LAUNCH-OFF-SHIFT: The test pattern is to shift the next level using the Launch off Shift operation. As the LOS scheme launches transitions mainly followed by shift operation. The shadow registers can replace the Copyright to IJIRSET www.ijirset.com 266

bidirectional stitching for the restoration of the load state in LOS testing. A special stitching and the associated DfT support are required only for the interface registers in order to enable a proper rewind operation. Main transition fault ATPG methodologies are Launch on Capture and Launch on Shift (also known as broadside-load and skewed-load respectively). They both launch transition at the input of combinational block in different way for the same fault detection. Fig: Timing Diagram of Launch Off-Shift Two vectors V1 and V2 are used to perform transition delay fault testing. Here describes the LOS waveform. As illustrated, last shift of scan chain initialize the inputs of combinational block and first functional clock is used to launch transition in the combination block. Then scan enable signal would assert. Example, for scan chain having N scan-length, in LOS, first vector of N bit is loaded in to scan chain by N slow clock. Then two fast clocks (functional clock) are used to launch and capture transition into and from the combinational block. Again scan chain unloads with N slow clocks. Here scan enable signal transit from high to low after last shift of loading process. So, launch clock always occur in function mode and launching of transition would be along function path. Launch- off-shift (LOS) and Launch-Off-Capture (LOC). In LOS, the transition is launched during the last shift cycle from the scan path (non-functional). The scan enable (SEN) is high during the last shift and must go low to enable response capture during the capture cycle. The time period for SEN to make this transition corresponds to the functional frequency. This is not applicable for very low cost ATE, which have a limitation of one at-speed signal port. In LOC method, the at- speed constraint on the SEN signal is relaxed and the transition is launched from the functional path. The controllability of launching a transition at the target gate is less as it depends on the functional response of the circuit under test to the initialization vector. In the first method, referred to as launch-off-shift (LOS), the transition at the gate output is launched in the last shift cycle during the shift operation. The paths of transition launch in LOS method for a multiplexed-dff design; similar approach can be applied to an LSSD. The transition is launched from the scan-in pin (SD) of any flip-flop in the scan chain. Copyright to IJIRSET www.ijirset.com 267

LAUNCH OFF SHIFT: III. EXPERIMENTAL RESULTS Copyright to IJIRSET www.ijirset.com 268

LAUNCH OFF CAPTURE: IV. CONCLUSION AND FUTURE WORK DfT support used reduces a set of patterns optimized for cost and quantity. T-algorithm process mainly used to reduce the clock function due to the LOC and LOS process and to optimize the circuit power consumption. The proposed scheme reduces the delay time (1.167NS) and power (9mW). The future enhancement is to achieve the fault coverage of the LOC approach, by replacing regular scan cells with enhanced scan cells; simultaneously the volume of test data can be reduced. REFERENCES [1] E. K. Moghaddam, J. Rajski, S. M. Reddy, and M. Kassab, At-speed scan test with low switching activity, in Proc. 28th VLSI Test Symp., 2010, pp. 177 182. [2] F. Wu, L. Dilillo, A. Bosio, P. Girard, S. Pravossoudovitch, A.Virazel, M. Tehranipoor, K. Miyase, X. Wen, and N. Ahmed, Power reduction through X- filling of transition fault test vectors for LOS testing, in Proc.6th Int. Conf. Design Technol. Integr. Syst., Apr. 2011, pp. 1 6. [3] H. F. KO and N. Nicolici, Automated scan chain division for educing shift and capture power during broadside at-speed test, IEEE Trans. Comput.- Aided Design Integr. Circuits Syst., vol. 27, no. 11, pp. 2092 2097, Nov. 2008. [4] J. Lee, S. Narayan, M. Kapralos, and M. Tehranipoor, Layout-aware, IR- drop tolerant transition fault pattern generation, in Proc. Design Autom. Test Eur. Conf., 2008, pp. 1172 1177. [5] K. Agarwal, S. Vooka, S. Ravi, R. Parekhji, and A.S. Gill, Power Analysis and reduction techniques for transition fault testing, in Proc.17th Asian Test Symp., Nov. 2008, pp. 403 408. [6] K. Chakravadhanula, V. Chickermane, B. Keller, P. Gallagher, and P. Narang, Capture power reduction using clock gating aware test Generation, in Proc. Int. Test Conf., Nov. 2009, pp. 1 9. [7] K. Miyase, Y. Uchinodan, K. Enokimoto, Y. Yamato, X. Wen, S. Kajihara,F. Wu, L. Dilillo, A. Bosio, P. Girard, and A. Virazel, Effective launch-to-capture power reduction for LOS scheme with adjacent probability-based X-Filling, in Proc. 20th Asian Test Symp., Nov. 2011,pp. 90 95. [8] M.-F. Wu, H.-C. Pan, T.-H. Wang, J.-L. Huang, K.-H. Tsai and W.-T. Cheng, Improved weight assignment for logic switching activity during at- speed test pattern generation, in Proc. 15th Asian South Pacific Design Autom. Conf., Jan. 2010, pp. 493 498. [9] O. Sinanoglu is with the Department of Computer Engineering, New York University Abu Dhabi, Abu Dhabi 129188, UAE Design for Testability Support for Launch and Capture Power Reduction in Launch-Off-Shift and Launch-Off-Capture Testing, in IEEE Copyright to IJIRSET www.ijirset.com 269

Transactions On Very Large Scale Integration (VLSI) Systems. 2014, [10] O. Sinanoglu, Rewind-support for peak capture power reduction in launch- off-shift testing, in Proc. 20th Asian Test Symp. Nov. 2011,pp. 78 83. [10] P. Girard, N. Nicolici, and X. Wen, Power-Aware Testing and Test Strategies for Low Power Devices. New York, USA: Springer- Verlag, 2010. [11] Z. Chen, K. Chakrabarty, and D. Xiang, MVP: Capture- power reduction with minimum-violations partitioning for delay testing, in Proc. IEEE ACM Int. Conf. Comput.-Aided Design, Nov. 2010, pp. 149 154. Copyright to IJIRSET www.ijirset.com 270