Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Similar documents
Unit V Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic Testing. Logic Verification. Testing

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Scan. This is a sample of the first 15 pages of the Scan chapter.

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Lecture 18 Design For Test (DFT)

Slide Set 14. Design for Testability

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Overview: Logic BIST

Testing Digital Systems II

Design for Testability

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

At-speed Testing of SOC ICs

Lecture 23 Design for Testability (DFT): Full-Scan

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

7 Nov 2017 Testing and programming PCBA s

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

TKK S ASIC-PIIRIEN SUUNNITTELU

K.T. Tim Cheng 07_dft, v Testability

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

VLSI System Testing. BIST Motivation

Simulation Mismatches Can Foul Up Test-Pattern Verification

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Testing Digital Systems II

This Chapter describes the concepts of scan based testing, issues in testing, need

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

At-speed testing made easy

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

Design of Fault Coverage Test Pattern Generator Using LFSR

ECE 715 System on Chip Design and Test. Lecture 22

Tools to Debug Dead Boards

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

Testing Sequential Circuits

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

BSDL Validation: A Case Study

the Boundary Scan perspective

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

VirtualScan TM An Application Story

Department of Information Technology and Electrical Engineering. VLSI III: Test and Fabrication of VLSI Circuits L.

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Chapter 8 Design for Testability

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Saving time & money with JTAG

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Using the XC9500/XL/XV JTAG Boundary Scan Interface

New Directions in Manufacturing Test

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

VLSI Test Technology and Reliability (ET4076)

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

IIIHIII III. Signal in. BIST ShiftDR United States Patent (19) Tsai et al. Out Mode Signal out. mclockdr. SCOn

Product Update. JTAG Issues and the Use of RT54SX Devices

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Avoiding False Pass or False Fail

CSE 352 Laboratory Assignment 3

Testing Digital Systems II

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785


Diagnosis of Resistive open Fault using Scan Based Techniques

Sequential Design Basics

Comparing Functional and Structural Tests

Advances in VLSI Testing at MultiGb per Second Rates

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Section 24. Programming and Diagnostics

An Experiment to Compare AC Scan and At-Speed Functional Testing

Project 6: Latches and flip-flops

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Sharif University of Technology. SoC: Introduction

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Computer Systems Architecture

Chip-Level DFT: Some New, And Not So New, Challenges

CHAPTER1: Digital Logic Circuits

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

ECE321 Electronics I

Section 24. Programming and Diagnostics

3D IC Test through Power Line Methodology. Alberto Pagani

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Lecture 12. Amirali Baniasadi

Chapter 5 Flip-Flops and Related Devices

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

XJTAG DFT Assistant for

Transcription:

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Agenda Introduction to testing Logical faults corresponding to defects DFT 2

Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan 3

Testing Testing is everything when it comes to making $$$$$. Selling bad silicon can bankrupt a company. Three main categories Functionality test or logic verification (before tapeout) Make sure functionality is correct Silicon debug (on first batch of chips from fab) detective work You don t want to mass-produce bad chips Manufacturing test (on each mfg d chip before shipping) You don t want to ship bad chips 4

The Manufacturing Process is Imperfect Page 55

The Manufacturing Process is Imperfect Page 6

The Manufacturing Process is Imperfect Page 7

Testing Testing and/or debugging a chip costs at various levels Wafer level Packaged chip level Board level System level Field level $0.01-$0.10 $0.10-$1 $1-$10 $10-$100 $100-$1000 Cost goes up exponentially if fault detected at later stages 8

Testing Testing is one of the most expensive parts of chips Logic verification accounts for > 60% of design effort for many chips Debug time after fabrication has enormous opportunity cost Shipping defective parts can sink a company Example: Intel FDIV bug Logic error not caught until > 1M units shipped Recall cost >> $450M Was this a verification problem or a testing problem? 9

Logic Verification Does the chip simulate correctly? Usually done at HDL level Verification engineers write test bench for HDL Can t test all cases Look for corner cases Try to break logic design Ex: 32-bit adder Test all combinations of corner cases as inputs: 0, 1, 2, 2 31-1, -1, -2 31, a few random numbers Good tests require ingenuity 10

Silicon Debug Test the first chips back from fabrication If you are lucky, they work the first time If not Logic bugs vs. electrical failures Most chip failures are logic bugs from inadequate simulation But some are electrical failures Crosstalk Dynamic nodes: leakage, charge sharing Ratio failures A few are tool or methodology failures (e.g. DRC) Fix the bugs and fabricate a corrected chip 11

Shmoo Plots How to diagnose failures? Hard to access chips Picoprobes Electron beam Laser voltage probing Built-in self-test Shmoo plots Vary voltage, frequency, temperature Look for cause of electrical failures 12

Manufacturing Test A speck of dust on a wafer is sufficient to kill chip Yield of any chip is < 100% Must test chips after manufacturing before delivery to customers to only ship good parts Manufacturing testers are very expensive Minimize time on tester Careful selection of test vectors 13

Cheap Testers Tester and test fixtures Can be very expensive (e.g., $1-2M) If you don t have a multimillion dollar tester: Build a breadboard with LED s and switches Hook up a logic analyzer and pattern generator Or use a low-cost functional chip tester 14

TestosterICs Ex: TestosterICs functional chip tester Reads test vectors, applies them to your chip, and reports assertion failures A low cost ditigal VLSI tester 15

Stuck-At Faults How does a chip fail? Need fault model Usually failures are shorts between two conductors or opens in a conductor This can cause very complicated behavior A simpler model: Stuck-At Assume all failures cause nodes to be stuck-at 0 or 1, i.e. shorted to GND or V DD Not quite true, but works well in practice 16

Examples 17

Observability & Controllability Observability: ease of observing a node by watching external output pins of the chip Controllability: ease of forcing a node to 0 or 1 by driving input pins of the chip Combinational logic is usually easy to observe and control Finite state machines can be very difficult, requiring many cycles to enter desired state Especially if state transition diagram is not known to the test engineer 18

Test Pattern Generation Manufacturing test ideally would check every node in the circuit to prove it is not stuck. Apply the smallest sequence of test vectors necessary to prove each node is not stuck. Good observability and controllability reduces number of test vectors required for manufacturing test. Reduces the cost of testing Motivates design-for-test 19

Test Example SA1 SA0 A 3 A 2 A 1 A 0 n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 20

Test Example SA1 SA0 A 3 {0110} {1110} A 2 A 1 A 0 n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 21

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 A 0 n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 22

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 23

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 24

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 25

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 {0110} {0100} n3 Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 26

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 {0110} {0100} n3 {0101} {0110} Y A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: 27

Test Example SA1 SA0 A 3 {0110} {1110} A 2 {1010} {1110} A 1 {0100} {0110} A 0 {0110} {0111} n1 {1110} {0110} n2 {0110} {0100} n3 {0101} {0110} Y {0110} {1110} A 3 A 2 A 1 A 0 n2 n1 n3 Y Minimum set: {0100, 0101, 0110, 0111, 1010, 1110} 28

Design for Test Design the chip to increase observability and controllability If each register could be observed and controlled, test problem reduces to testing combinational logic between registers. Better yet, logic blocks could enter test mode where they generate test patterns and report the results automatically. 29

Scan Convert each flip-flop to a scan register Only costs one extra multiplexer Normal mode: flip-flops behave as usual Scan mode: flip-flops behave as shift register SI D SCAN CLK Q Contents of flops can be scanned out and new values scanned in inputs scan-in Logic Cloud Logic Cloud outputs scan out 30

Basics of Scan F7 F8 S R A M F1 F2 A B C D E F G H F5 F9 F3 F6 F0 F4 Page 31

Basics of Scan SCAN IN F7 F8 S R A M F1 F2 A B C D E F G H F5 F9 F3 F6 F0 F4 SCAN OUT Page 32

Scannable Flip-flops SCAN (a) D SI SCAN 0 1 CLK Q D SI f f X f f f f Q Q (b) f f f d f SCAN f d D f d X f Q Q f s SI f s f f f (c) f s f f 33

Why Scan design? Makes internal circuit access much more direct to allow for controllability and observability Converts a sequential test generation problem into a combinational test generation problem Enables automatic test pattern generation (ATPG) Enables use of low-pincount, low cost testers (ATE) 34

Stuck-At Testing SCAN IN Test for C stuck-at 1 F7 F8 S R A M F1 F2 A B C D E F G H F5 F9 F3 F6 F0 F4 SCAN OUT Page 35

Stuck-At Testing SCAN IN Test for C stuck-at 1 Load Scan Chain S R A M 0 1 A B C D E F G H 1 1 SCAN OUT Page 36

Stuck-At Testing SCAN IN Test for C stuck-at 1 Pulse Clock Test Result S R A M?? A B C D E F G H 1?? SCAN OUT Page 37

Stuck-At Testing SCAN IN Test for C stuck-at 1 Unload Scan Chain S R A M 0 1 A B C D E F G H 1 1 1 SCAN OUT Page 38

Built-in Self-test Built-in self-test lets blocks test themselves Generate pseudo-random inputs to combinational logic Combine outputs into a syndrome With high probability, block is fault-free if it produces the expected syndrome 39

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 2 3 4 5 6 7 40

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 3 4 5 6 7 41

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 4 5 6 7 42

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 5 6 7 43

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 6 7 44

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 001 6 7 45

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 001 6 011 7 46

PRSG Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator CLK Q[0] Q[1] Q[2] D D D Step Q 0 111 1 110 2 101 3 010 4 100 5 001 6 011 7 111 (repeats) 47

BILBO Built-in Logic Block Observer Combine scan with PRSG & signature analysis D[0] D[1] D[2] C[0] C[1] SI 1 0 Q[0] Q[1] Q[2] / SO PRSG Logic Cloud Signature Analyzer MODE C[1] C[0] Scan 0 0 Test 0 1 Reset 1 0 Normal 1 1 48

Boundary Scan Testing boards is also difficult Need to verify solder joints are good Drive a pin to 0, then to 1 Check that all connected pins get the values Through-hold boards used bed of nails SMT and BGA boards cannot easily contact pins Build capability of observing and controlling pins into each chip to make board test easier 49

Boundary Scan Example Package Interconnect CHIP B CHIP C Serial Data Out CHIP A CHIP D IO pad and Boundary Scan Cell Serial Data In 50

Boundary Scan Interface Boundary scan is accessed through five pins TCK: test clock TMS: test mode select TDI: test data in TDO: test data out TRST*: test reset (optional) Chips with internal scan chains can access the chains through boundary scan for unified test strategy. 51

Summary Think about testing from the beginning Simulate as you go Plan for test after fabrication If you don t test it, it won t work! (Guaranteed) 52