GENERAL RULES FOR EE314 PROJECTS

Similar documents
Design and Implementation of an AHB VGA Peripheral

Lab # 9 VGA Controller

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

VGA Port. Chapter 5. Pin 5 Pin 10. Pin 1. Pin 6. Pin 11. Pin 15. DB15 VGA Connector (front view) DB15 Connector. Red (R12) Green (T12) Blue (R11)

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

7inch Resistive Touch LCD User Manual

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

Lecture 14: Computer Peripherals

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

AD9884A Evaluation Kit Documentation

Section 4. Display Connector

Week 5 Dr. David Ward Hybrid Embedded Systems

Lab 3: VGA Bouncing Ball I

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report

Testing Results for a Video Poker System on a Chip

Spartan-II Development System

An Efficient SOC approach to Design CRT controller on CPLD s

Laboratory 4 Check Off Sheet. Student Name: Staff Member Signature/Date: Part A: VGA Interface You must show a TA the following for check off:

VGA Configuration Algorithm using VHDL

Professor Henry Selvaraj, PhD. November 30, CPE 302 Digital System Design. Super Project

Video Graphics Array (VGA)

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube

Laboratory 4 Check Off Sheet. Student Name: Staff Member Signature/Date: Part A: VGA Interface You must show a TA the following for check off:

Design of VGA Controller using VHDL for LCD Display using FPGA

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

6.111 Final Project Proposal Kelly Snyder and Rebecca Greene. Abstract

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Pivoting Object Tracking System

Single Channel LVDS Tx

Fingerprint Verification System

1:2 MIPI DSI Display Interface Bandwidth Reducer IP User Guide

FPGA Prototyping using Behavioral Synthesis for Improving Video Processing Algorithm and FHD TV SoC Design Masaru Takahashi

A CONTROL MECHANISM TO THE ANYWHERE PIXEL ROUTER

Display Technology. Images stolen from various locations on the web... Cathode Ray Tube

VGA 8-bit VGA Controller

RESEARCH AND DEVELOPMENT LOW-COST BOARD FOR EXPERIMENTAL VERIFICATION OF VIDEO PROCESSING ALGORITHMS USING FPGA IMPLEMENTATION

4.3inch 480x272 Touch LCD (B) User Manual

You will be first asked to demonstrate regular operation with default values. You will be asked to reprogram your time values and continue operation

Design and analysis of microcontroller system using AMBA- Lite bus

MUSIC COMPOSITION FOR DUMMIES

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

6.111 Project Proposal IMPLEMENTATION. Lyne Petse Szu-Po Wang Wenting Zheng

ECE 448 Lecture 10. VGA Display Part 1 VGA Synchronization

Experiment # 4 Counters and Logic Analyzer

9 Analyzing Digital Sources and Cables

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

Laboratory Exercise 7

A Flexible FPGA communication

The Project & Digital Video. Today. The Project (1) EECS150 Fall Lab Lecture #7. Arjun Singh

Digital Blocks Semiconductor IP

Design of VGA and Implementing On FPGA

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

[Kadlag*, TECHNOPHILIA: February, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

Lab 6: Video Game PONG

AC334A. VGA-Video Ultimate BLACK BOX Remote Control. Back Panel View. Side View MOUSE DC IN BLACK BOX ZOOM/FREEZE POWER

Section 14 Parallel Peripheral Interface (PPI)

ECE 5765 Modern Communication Fall 2005, UMD Experiment 10: PRBS Messages, Eye Patterns & Noise Simulation using PRBS

Video. Prof. Stephen A. Edwards Columbia University Spring Video p. 1/2

Video. Prof. Stephen A. Edwards Columbia University Spring Video p.

Experiment: FPGA Design with Verilog (Part 4)

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI)

An FPGA Based Solution for Testing Legacy Video Displays

Video. Updated fir31.filtered on website Fall 2008 Lecture 12

Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II

Laboratory 4. Figure 1: Serdes Transceiver

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

SOC Single Channel H264 + Audio Encoder module

980 Protocol Analyzer General Presentation. Quantum Data Inc Big Timber Road Elgin, IL USA Phone: (847)

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? Project Overview

Group 1. C.J. Silver Geoff Jean Will Petty Cody Baxley

1. Synopsis: 2. Description of the Circuit:

TV Character Generator

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

CPE 329: Programmable Logic and Microprocessor-Based System Design

Hand-Drawn Circuit Recognition

Lab Assignment 2 Simulation and Image Processing

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory

This project will work with two different areas in digital signal processing: Image Processing Sound Processing

Design and Implementation of Nios II-based LCD Touch Panel Application System

Digital Blocks Semiconductor IP

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Digital Blocks Semiconductor IP

Where's Waldo Image Finder. Final Report

AC335A. VGA-Video Ultimate Plus BLACK BOX Back Panel View. Remote Control. Side View MOUSE DC IN OVERLAY

2.6 Reset Design Strategy

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

EDID HANDLING WITH ANALOG SIGNAL DISTRIBUTION

Video Display Unit (VDU)

Checkpoint 2 Video Encoder

Design of Vision Embedded Platform with AVR

Lab #11: Register Files

Transcription:

GENERAL RULES FOR EE314 PROJECTS Followings are the important points about projects: This year we are offering 5 projects. Please note that during weekends, laboratory will be closed. In order to work efficiently, try to complete your project in simulation domain as much as possible and try not to spend too much time for debugging. The aim of the projects is to make you more familiar with some subjects you were introduced in digital electronics and logic design. However, you may need to do some research and study extra material to accomplish the task. This will be a good first step for 4th year graduation projects. The design approach that you will use is not limited. You are free and encouraged to use your own ideas to improve the projects. Therefore, sky is the limit! The project groups will contain 2 students. It is not necessary that your lab partner and project partner is the same person. Projects: #1-Peace by Fatih Çakır and Emre Alp Miran, #2-War by Fırat Öcal and Fatih Mehmet Özçelik, #3-Dipole by Yunus Can Gültekin and Mustafa Kangül, #4-Hürkuş (Freebird) by Kübra Çırçır and Özlem Tuğfe Demir, #5-Road Runner by Eren Aydın and Mahmut Kamil Aslan. Project coordination by Mustafa Kangül, and Barış Bayram 2015 All Rights Reserved. (e-mail: mustaf.kangul@gmail.com) Page 1 of 5

There are responsible assistants for each project. It is recommended that you ask your questions via e mail to those assistants. There will be no mid-report. Project demonstrations will be held on the first week of the June. Early demonstration possibility should be discussed with your project assistant. Final reports will be submitted during demonstrations. Soft copy of final report will be submitted. Example report can be found on ODTUCLASS system. How to get a ZERO grade from project: Copying code fragments or modules directly from an internet source, Sharing modules and code parts between project groups, Fully copying another group s code and other project work, Any other action that puts you at an unjust advantage over other project groups. In the projects you will use Altera DE1-SoC board. In the demonstrations computer monitors will be used for screen display. In order to drive monitors you have to use VGA port of the board. Following information will be helpful for VGA interface. VGA interface: VGA is a widely used standard in video industry for the transmission of video signals from a computer or microprocessor into a monitor or TV. Each 640x480 image is called a frame and each frame contains 480 lines which are made up of 640 pixels. EE 314 Digital Electronics Laboratory Page 2 of 5

The monitor starts displaying each frame by beginning from the first line and then the first pixel of this line. In each line, the display order is from left to right; and each frame is written in an order from top to bottom. So, your first pixel is always at the top left corner, while the last pixel at the bottom right. You will need to generate an image buffer with at least 640x480 = 307200 bits to store each line and frame in order to form a coherent image; however you will also need to adjust two synchronization signals called HSync (Horizontal Synchronization) and VSync (Vertical Synchronization) in order to see a video. These signals tell the monitor when a line or frame is finished, and the monitor should start from the next line or frame. As shown in Figure 3, VGA interface is actually very simple, and you will only need to make 3 connections, namely R-G-B. For example, for a white pixel all three inputs should be high, and for a black pixel the inputs should be low. The FPGA cards in the laboratory already have a VGA output port with color outputs, so you will only need to supply the R-G-B data digitally to the VGA port. Necessary pins for these assignments can be found in the user manual. (http://www.terasic.com.tw/cgibin/page/archive_download.pl?language=english&no=836&fid=eac30a 7aaacf5187a4ace0d613cd4676 ) Figure 1: VGA interface. HSync and VSync: HSync and VSync are necessary in order to tell the monitor to start or stop writing a line or frame. You will need to build the necessary digital blocks in order to correctly form these two signals. These blocks are basically counters with some modifications and are very easy to implement in Verilog. You can see the horizontal and vertical synchronization signals in Figure 2 with the corresponding timing in Table 1. EE 314 Digital Electronics Laboratory Page 3 of 5

Figure 2: HS and VS. Table 1: Timing. By observing Figure 2 and Table 1, we can understand that the HSync signal is used to synchronize one line in a frame, while VSync is used to synchronize each frame. Basically, when HSync or VSync is low, the monitor understands that it needs to switch from one line or frame to the next. Back and front porch are idle stages where the monitor is getting ready to write the next pixel or line. They also include 8 pixel and line over scan or border pixel/lines outside our standard view of the monitor. IMPORTANT NOTE: The video input signals (R, G, B) of a VGA monitor should be off (or black) during H. or V. Sync stages, and front/back porch stages. The video input signals should only be active during an active video transmission stage, which are highlighted in Figure 2. In order to construct these HSync and VSync signals and to achieve transmission of each line/pixel, you will need a 25 MHz clock signal. This EE 314 Digital Electronics Laboratory Page 4 of 5

will also mean that each pixel will be transmitted at 25 MHz to the monitor during active video stages. Internal clock information about ALTERA can be found under the Clock Circuitry part of the user manual. http://www.epanorama.net/documents/pc/vga_timing.html http://martin.hinner.info/vga/640x480_60.html EE 314 Digital Electronics Laboratory Page 5 of 5