FPGA DESIGN OF CLUTTER GENERATOR FOR RADAR TESTING

Similar documents
INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

SDR Implementation of Convolutional Encoder and Viterbi Decoder

Radar Signal Processing Final Report Spring Semester 2017

Design & Simulation of 128x Interpolator Filter

MONITORING AND ANALYSIS OF VIBRATION SIGNAL BASED ON VIRTUAL INSTRUMENTATION

FPGA IMPLEMENTATION AN ALGORITHM TO ESTIMATE THE PROXIMITY OF A MOVING TARGET

DDC and DUC Filters in SDR platforms

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

International Journal of Engineering Research-Online A Peer Reviewed International Journal

Design of BIST with Low Power Test Pattern Generator

ELEC 310 Digital Signal Processing

Digital Correction for Multibit D/A Converters

Distributed Arithmetic Unit Design for Fir Filter

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

Memory efficient Distributed architecture LUT Design using Unified Architecture

A Pseudorandom Binary Generator Based on Chaotic Linear Feedback Shift Register

DESIGN and IMPLETATION of KEYSTREAM GENERATOR with IMPROVED SECURITY

Design of Fault Coverage Test Pattern Generator Using LFSR

Implementation of Low Power Test Pattern Generator Using LFSR

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

DESIGN OF INTERPOLATION FILTER FOR WIDEBAND COMMUNICATION SYSTEM

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

An Improved Recursive and Non-recursive Comb Filter for DSP Applications

Detection and demodulation of non-cooperative burst signal Feng Yue 1, Wu Guangzhi 1, Tao Min 1

FPGA Implementation of DA Algritm for Fir Filter

Design of BIST Enabled UART with MISR

A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame

[Dharani*, 4.(8): August, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

An Lut Adaptive Filter Using DA

Implementation of a turbo codes test bed in the Simulink environment

Design of Low Power Efficient Viterbi Decoder

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

TERRESTRIAL broadcasting of digital television (DTV)

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Investigation of Digital Signal Processing of High-speed DACs Signals for Settling Time Testing

Chapter 1. Introduction to Digital Signal Processing

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Various Applications of Digital Signal Processing (DSP)

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Inside Digital Design Accompany Lab Manual

Journal of Theoretical and Applied Information Technology 20 th July Vol. 65 No JATIT & LLS. All rights reserved.

A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3

A Novel Turbo Codec Encoding and Decoding Mechanism

Design and Implementation of Data Scrambler & Descrambler System Using VHDL

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

LFSR Based Watermark and Address Generator for Digital Image Watermarking SRAM

Design of Memory Based Implementation Using LUT Multiplier

Hardware Implementation of Viterbi Decoder for Wireless Applications

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING

Implementation of 2-D Discrete Wavelet Transform using MATLAB and Xilinx System Generator

Segmented Leap-Ahead LFSR Architecture for Uniform Random Number Generator

Optimization of memory based multiplication for LUT

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

System Quality Indicators

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

An Enhancement of Decimation Process using Fast Cascaded Integrator Comb (CIC) Filter

Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC

LUT Optimization for Memory Based Computation using Modified OMS Technique

Implementation of CRC and Viterbi algorithm on FPGA

Digital holographic security system based on multiple biometrics

2e 23-1 Peta Bits Per Second (Pbps) PRBS HDL Design for Ultra High Speed Applications/Products

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

VHDL IMPLEMENTATION OF TURBO ENCODER AND DECODER USING LOG-MAP BASED ITERATIVE DECODING

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Metastability Analysis of Synchronizer

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Testing of UART Protocol using BIST

Research on sampling of vibration signals based on compressed sensing

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Architecture of Discrete Wavelet Transform Processor for Image Compression

A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications

Research Article Design and Analysis of a High Secure Video Encryption Algorithm with Integrated Compression and Denoising Block

Research Article. ISSN (Print) *Corresponding author Shireen Fathima

ISSN:

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

A Parallel Area Delay Efficient Interpolation Filter Architecture

DELTA MODULATION AND DPCM CODING OF COLOR SIGNALS

ISSN (Print) Original Research Article. Coimbatore, Tamil Nadu, India

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IC Design of a New Decision Device for Analog Viterbi Decoder

International Journal of Scientific & Engineering Research, Volume 6, Issue 3, March-2015 ISSN DESIGN OF MB-OFDM SYSTEM USING HDL

Reduction of Noise from Speech Signal using Haar and Biorthogonal Wavelet

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

Performance Analysis and Behaviour of Cascaded Integrator Comb Filters

FPGA Implementation OF Reed Solomon Encoder and Decoder

Soft Computing Approach To Automatic Test Pattern Generation For Sequential Vlsi Circuit

SIC Vector Generation Using Test per Clock and Test per Scan

A Parametric Autoregressive Model for the Extraction of Electric Network Frequency Fluctuations in Audio Forensic Authentication

LFSR Counter Implementation in CMOS VLSI

Technical report on validation of error models for n.

Transcription:

FPGA DESIGN OF CLUTTER GENERATOR FOR RADAR TESTING Thottempudi Pardhu 1 and N.Alekhya Reddy 2 1 Asstistant Professor,Department of Electronics And Communication Engineering, Marri Laxman Reddy Institute of Technology & Management, Hyderabad, India 2 Department of Electronics And Communication Engineering, MLReddy Institute of Technology, Hyderabad, India ABSTRACT Detection of weak target echo in the presence of strong clutter is the main objective of any RADAR. To evaluate the performance of RADAR it is required to generate the clutter of various types including land and sea. This clutter is of non Gaussian distribution such as lognormal, Weibull and k-type. In this project it is proposed to develop a clutter generation algorithm of given distribution type. This process consists of random number generator with Gaussian distribution converting into a non Gaussian using ZMNL (Zero Memory Nonlinearity Transformation) technique. It also includes amplitude shaping and addition other interference signals. The complete algorithm is first simulated using Xilinx ISE 9.2i and would be implemented in VIRTEX-V FPGA.This algorithm will be used for the testing of RADAR system. Results are compared with standard results. KEYWORDS RADAR,Gaussian,Lognormal,Weibull,K-Type,ZMNL,FPGA, 1. INTRODUCTION Clutter is a term used to describe any object that generates unwanted radar returns that may interfere the normal radar operations. Parasitic returns that enter the radar through the antenna s main lobe are called main lobe clutter; otherwise they are called side lobe clutter. Clutter can be classified into two main categories: surface clutter and airborne or volume clutter. Surface clutter includes trees, vegetation, ground terrain, man-made structures, and sea surface (sea clutter). Volume clutter normally has large extent (size) and includes chaff, rain, birds, and insects. Surface clutter changes from one areato another, while volume clutter may be more predictable. In this paper modeling and verification of the clutter is introduced. Clutter affects the performance of radar when detecting and tracking the targets. Hence it is very important to know the exact and feasible method of generating the clutter sequence. Clutter echoes are random and have thermal noise-like characteristics because the individual clutter components (scatterers) have random phases and amplitudes. In many cases, the clutter signal level is much higher than the receiver noise level. Thus, the radar s ability to detect targets embedded in high clutter background depends on the Signal-to-Clutter Ratio (SCR) rather than the SNR. There are two methods of generating the temporal correlated clutter distribution.1).zero Memory Non Linear transformation (ZMNL) 2).Spherically Invariant Process (SIRP). The clutter is 13

generated by passing the correlated Gaussian random variables through a ZMNL filter.[2]. Lognormal distributed clutter can be generated by ZMNL process but not with SIRP process. In case of real time generation of the lognormal distributed clutter by ZMNL method, the DSP chip can be used. But in these days to satisfy the real time application for handling huge number of points, it takes large computation time. Hence an alternate solution is through fpga prototyping is considered in this paper. 2. MODELLING OF CLUTTER Consider a random variable X represents the amplitude of the clutter, then the probability density function of the lognormal distributed clutter is represented as follows. Where µ is known as scale parameter and represents the mean of ln(x) and σ is known as standard deviation of X. The mean and variance of X are given in equations below [5]. The generation scheme for the lognormal distributed clutter by ZMNL method is shown in Fig.1. The correlation coefficient of the input and output of the system represented by ρ ij and S ij and the relationship between them is as shown below. Fig.1.The scheme for the generation of Lognormal distributed clutter by ZMNL method 3. GENERATION OF LOG NORMAL CLUTTER The Lognormal clutter generation system modeled using FPGA as shown in Fig.2, includes the random sequence generator, Gaussian sequence generator, Fast Fourier and Inverse 14

Fourier Transformation, matched filter and zero memory nonlinear amplitude transformation system. Fig.2.Block diagram of Lognormal Distributed Clutter generation 3.1. Generation of Random Numbers The system shown above is realized in six stages. In stage one 32-bit random sequence is generated using Feed Back Shift Register (FSR). In stage two16-bit two Gaussian random sequences are generated using 32bit random sequence. Stage three to stage five is the generation of frequency filter component and its architecture is designed according to the correlated characteristic of the clutter. The final output is generated by passing the processed data and the Gaussian random sequence through the zero memory nonlinear amplitude transformation[5] filter. Random sequence can be generated using either FSR or Tausworthe architecture as shown in Fig.3.and 4 respectively. L-bit random number 1... N+1.. q N+L.. p XO Fig.3: Random number generation using FSR 15

Fig.4: Improved Tausworthe generator architecture. 3.2. Generation of Gaussian Noise Though LFSR is simple and good for different applications, to improve the randomness and the speed of sequence generation Tausworthe architecture is considered. The generation of Gaussian variable from the Gaussian is explained below. Let r 1 and r 2 be the two 16-bit random variables in the interval [0, 1), x 1 and x 2 be the Gaussian distributed sequence with zero mean and unit variance. Then, the generated sequences are in the form of Gaussian format, which are described by equation [8]. 3.3. Design of Matched Filter Stage 3 to stage5 explains the matched filter implementation details as shown in Fig.2. Computation of matched filter output can be illustrated in Fig. 5. Fig.5. Block diagram of Matched Filter Since the matched filter is a linear time invariant system, its output can be described mathematically by the convolution between its input and its impulse response. Where is the input signal, is the matched filter impulse response (replica), and the operator symbolically represents convolution. And when both signals are sampled properly, the compressed signal can be computed from, 16

4. IMPLEMENTATION OF CLUTTER GENERATOR HDL code for Random number generation is implemented in Virtex-V (ML501) FPGA. Hardware is designed in LABVIEW for generation of Gaussian noise. Matched filter is realized in MATLAB. ZMNL for Gaussian noise and output of matched filter which generates is implemented in LABVIEW.Fig.6 and shows the VLSI implementation flow of Tausworthe architecture. start HDL program Synthesis.bit file generation Download into FPGA.cdc file generation Verification in chipscope End Fig.6. VLSI implementation of PRNG using Tausworthe Architecture. HDL code is generated, simulated and synthesized.bit file is generated using VHDL. Device is configured using this bit file. By adding.cdc file, code is verified using Chipscope Pro.Fig.7, Fig.8 represent the generation of random number generator and clutter generator in LABVIEW. 17

Fig.7: Generation of PRNG 5. SIMULATION RESULTS Fig.8. Implementation of Clutter Generator VHDL code for random number generation is implemented on Virtex-V FPGA. CODIC IP and Chip scope Pro are used to analyze the design. Fig.9, Fig.10, Fig.11, Fig.12, Fig.13, Fig.14, Fig.15, Fig.16 represents the CORDIC IP implantation of random number, output using chipscope, PDF of Gaussian Noise, simulation result of generation of Gaussian noise using LABVIEW, Uncompressed Echo From The RADAR, Compressed Echo from the Filter, Matched Filer Time And Frequency Domain Response, Clutter generated in RADAR SEEKER. 18

Fig.9 : Simulation result of Random number using CORDIC IP(9) Fig.10: Simulation result of Random number using Chipscope Pro. 19

Fig.11. PDF of Gaussian Noise(10) Fig.12: Simulation result of PDF of Gaussian Noise.(10) Fig.13: Uncompressed Echo from the RADAR. 20

Fig.14. Compressed echo after passing through filter Fig.15. Matched filter time and Frequency Response 21

Fig.16: Generated Clutter from RADAR SEEKER. If we take samples from the generated clutter and plot on MATLAB it takes the shape of Lognormal PDF. It is shown in Fig.17 6. CONCLUSIONS Fig.17: plot taken from Various Samples. In this study hardware is proposed to generate lognormal distributed clutter. Improved Tauswothe architecture, boxmuller algorithm,cordic IP, Chipscope pro are used to generate the random numbers. MATLAB is used to design the Matched filter. LABVIEW is used to design Gaussian 22

noise generator, clutter generator. RADAR Seeker is tested using this result is used to tested using this result. It can be used in echo simulator, for range and velocity simulations. It is shown in Fig.18 Fig.18: Using clutter Generator for range and velocity Simulation. REFERENCES [1] S. Sayama, M. Sekine, Log-normal, log-weibull and K-distributed sea clutter, IEICE Trans. 2002. Commun, Vol. E85-B, pp.1375-1381. [2] Zhang. ( L. Modeling and simulation radar clutter for radar signal simulator. 2005, National University of Defense Technology. [3] AAlimohammad, S F. Fard, B. F. Cockburn and ( Schlegel, "A Compact and Accurate Gaussian Variate Generator," IEEE Trans. Very Large Scale Integr. (VLSI) Syst, vol.16, no.5, pp. 517-527, May 2008. [4] Y.Cong, 1. Z x.gan and W R.Qi, "Real-time generating Gaussian random noise based on CORDIC algorithm," Journal of NanjingUniversityof Science and Technology, vol. 30, no. 3, pp. 336-338,351, Jun. 2006. [5] D.Huang, D.Z.Zeng,T.Long and J.Y.Yu Design of a Correlated Lognormal Distributed Sequence Generator Based on Virtex-IV Series FPGA,ICCASM 2010,V2,PP 340-343. [6] C.Wei, C.He and H.Y.Qiu, "VLSI implementation of universal rand number generator," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., pp. 465-470, 2002. [7] D.U.Lee,J.D.Villasenor,W.Luk,P.H.W.Leong, A hardwae Gaussion noise generator using Boxmuller method and its error analysis," IEEE Trans. Com put., vol. 55, no. 6, pp. 659-671, Jun. 2006. [8] D.U.Lee,J.D.Villasenor,W.Luk,P.H.W.Leong, A hardwae Gaussion noise generator using Boxmuller method and its error analysis," IEEE Trans. Com put., vol. 55, no. 6, pp. 659-671, Jun. 2006. [9] ThottempudiPardhu, Usha Rani Nelakuditi, P.Suresh, Novel Random Sequence Generation And Validation Using FPGA, ICCNASP 2013,V1,PP 295-298 [10] Thottempudi pardhu, Usha Rani Neelakudithi, Suresh Pampana Generation and Validation of Gaussian Noise using Random Sequence in the proceedings of International Conference on electronics and communication systems 2014 (ICECS2014) pp-271-275. [11] Pardhu Thottempudi, NagaTeja Thottempudi, K.N.Bhushan,Usha Rani Neelakuditi GENERATION OF CRYPTOGRAPHICALLY SECURED PSEUDO RANDOM NUMBERS USING FPGA in International journal of Electronics Communication Engineering and Technology(IJECET) v-5,i-2, feb-2014, pp-21-29. 23

[12] Thottempudi pardhu, Usha Rani Neelakudithi, Suresh Pampana Novel Characterization and Generation of RADAR Volume Clutter Using FPGA in International Journal of Applied Engineering Research(IJAER),Vol-9,Number-21, September-2014,pp-8523-8532. [13] Thottempudi pardhu,n.alekhya Reddy Design of Matched Filter for RADAR Applications in the proceedings of International Conference on Circuits and Systems 2014 (CIRSY2014) Authors T.Pardhu was born in Luxettipet village in Adilabad District. He completed B.Tech in MLR Institute of Technology in the stream of Electronics and Communications Engineering in 2011. He has done his Master s degree, M.Tech in Embedded Systems from Vignan University, Vadlamudi in 2013.He has done Project in Research Centre IMARAT, Hyderabad as Project Intern. He is Working as Assistant Professor in Marri Laxman Reddy Institute of Technology & Management.His interested fields are Digital signal processing, RADAR Communications,Embedded systems, implementation of signal processing on applicationsin FPGA. N.Alekhya Reddy was born in Nellore. She is studying her Bachelor sdegree (B.Tech) in MLR Institute of Technology in the stream ofelectronics and Communication Engineering. Her Research interestfields includes Signal Processing, Low power VLSI. 24