Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

Similar documents
I. INTRODUCTION. S Ramkumar. D Punitha

SIC Vector Generation Using Test per Clock and Test per Scan

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Fault Detection And Correction Using MLD For Memory Applications

Efficient Test Pattern Generation Scheme with modified seed circuit.

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Design of Fault Coverage Test Pattern Generator Using LFSR

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

LOW TRANSITION TEST PATTERN GENERATOR ARCHITECTURE FOR MIXED MODE BUILT-IN-SELF-TEST (BIST)

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Weighted Random and Transition Density Patterns For Scan-BIST

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Controlling Peak Power During Scan Testing

Test Pattern Generation Using BIST Schemes

A Modified Clock Scheme for a Low Power BIST Test Pattern Generator

ISSN:

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Power Problems in VLSI Circuit Testing

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

POWER dissipation is a challenging problem for today s

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

A New Low Energy BIST Using A Statistical Code

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

Design of Low Power Test Pattern Generator using Low Transition LFSR for high Fault Coverage Analysis

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

LOW POWER TEST PATTERN GENERATION USING TEST-PER-SCAN TECHNIQUE FOR BIST IMPLEMENTATION

Design of BIST with Low Power Test Pattern Generator

Survey of low power testing of VLSI circuits

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

VLSI System Testing. BIST Motivation

Overview: Logic BIST

ISSN Vol.04, Issue.09, September-2016, Pages:

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

DESIGN OF LOW POWER TEST PATTERN GENERATOR

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

A Literature Review and Over View of Built in Self Testing in VLSI

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Testing and Power Optimization with Programmable PRPG Technique

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Doctor of Philosophy

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Implementation of Low Power Test Pattern Generator Using LFSR

Diagnosis of Resistive open Fault using Scan Based Techniques

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER

LFSR Counter Implementation in CMOS VLSI

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Power Optimization by Using Multi-Bit Flip-Flops

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

Testing Digital Systems II

Transactions Brief. Circular BIST With State Skipping

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

VLSI Test Technology and Reliability (ET4076)

A Low Power Delay Buffer Using Gated Driver Tree

Design of BIST Enabled UART with MISR

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

An FPGA Implementation of Shift Register Using Pulsed Latches

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

ECE 715 System on Chip Design and Test. Lecture 22

Survey of Test Vector Compression Techniques

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

An Application Specific Reconfigurable Architecture Diagnosis Fault in the LUT of Cluster Based FPGA

ISSN (c) MIT Publications

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

Design of Routing-Constrained Low Power Scan Chains

A Critical-Path-Aware Partial Gating Approach for Test Power Reduction

Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST )

Launch-on-Shift-Capture Transition Tests

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Transcription:

ISSN : 2347-8446 (Online) International Journal of Advanced Research in Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors I D. Punitha, II S. Ram Kumar I Final Year, M.E. VLSI Design, II Asst. Professor, VLSI Design Department I,II Sethu Institute of Technology, Kariapatti, India Abstract Digital circuit s complexity and density are increasing while, at the same time, more quality and reliability are required. These trends, together with high test cost, make the validation of VLSI circuits more and more difficult. This paper proposes a novel test pattern generator (TPG) for built-in self-test. Our method generates multiple single input change (MSIC) vectors in a pattern, i.e., each vector applied to a scan chain is an SIC vector. According to the different scenarios of scan length, this paper develops two kinds of SIC generators to generate Johnson vectors and Johnson codeword s, i.e., the reconfigurable Johnson counter and the scalable SIC counter. The proposed TPG is flexible to both the test-per-clock and the test-per-scan schemes. A theory is also developed to represent and analyze the sequences and to extract a class of MSIC sequences. Analysis results have the favourable features of minimum transition of sequence, uniform distribution of pattern, uniqueness of pattern, and low hardware overhead. Keywords BIST, SIC, TPG, MSIC, CUT. I. Introduction A built-in self-test (BIST) is a mechanism that permits a machine to test itself. BIST techniques can effectively reduce the difficulty and complexity of VLSI testing, by introducing on-chip test hardware into the circuit-under-test (CUT). In conventional BIST architectures, the linear feedback shift register (LFSR) is commonly used in the test pattern generators (TPGs) and output response analyzers. A major drawback of these architectures is that the pseudorandom patterns generated by the LFSR lead to significantly high switching activities in the CUT [1], which can cause excessive power dissipation. They can also damage the circuit and reduce product yield and lifetime [2], [3]. In addition, the LFSR usually needs to generate very long pseudorandom sequences in order to achieve the target fault coverage in nanometer technology. A. Existing method Many advanced BIST techniques have been studied and applied. The first class is the LFSR tuning. Girard analyzed the impact of an LFSR s polynomial and seed selection on the CUT s switching activity, and proposed a method to select the LFSR seed for energy reduction [4]. The second class is low-power TPGs. One approach is to design low-transition TPGs. Wang and Gupta used two LFSRs of different speeds to control those inputs that have elevated transition densities [5]. Corno provided a low- power TPG based on the cellular automata to reduce the test power in combinational circuits [6]. Another approach focuses on modifying LFSRs. The scheme in [7] reduces the power in the CUT in general and clock tree in particular. In [8], a low-power BIST for data path architecture is proposed, which is circuit dependent. Bonhomme. [9] used a clock gating technique where two nonoverlapping clocks control the odd and even scan cells of the scan chain so that the shift power dissipation is reduced by a factor of two. The ring generator [10] can generate a single-input change (SIC) sequence which can effectively reduce test power. The third approach aims to reduce the dynamic power dissipation during scan shift through gating of the outputs of a portion of the scan cells. Bhunia [11] inserted blocking logic into the stimulus path of the scan flip-flops to prevent the propagation of the scan ripple effect to logic gates. The need for transistors insertion, however, makes it difficult to use with standard cell libraries that do not have power-gated cells. In [12], the efficient selection of the most suitable subset of scan cells for gating along with their gating values is studied. The third class makes use of the prevention of pseudorandom patterns that do not have new fault detecting abilities [13],[14]. In [15], a pseudorandom BIST scheme was proposed to reduce switching activities in scan chains. Other approaches include LT-LFSR [16], a low-transition random TPG [17]. The TPG in [16] can reduce the transitions in the scan inputs by assigning the same value to most neighboring bits in the scan chain. In [17], power reduction is achieved by increasing the correlation between consecutive test patterns. II. Proposed Method This section develops a TPG scheme that can convert an SIC vector to unique low transition vectors for multiple scan chains. First, the SIC vector is decompressed to its multiple code words. Meanwhile, the generated code words will bit-xor with a same seed vector in turn. Hence, a test pattern with similar test vectors will be applied to all scan chains. The proposed MSIC-TPG consists of an SIC generator, a seed generator, an XOR gate network, and a clock and control block. According to the different scenarios of scan length, this paper develops two kinds of SIC generators to generate Johnson vectors and Johnson code words, i.e., the reconfigurable Johnson counter. A. Test Pattern generation method There are m primary inputs (PIs) and M scan chains in a full scan design, and each scan chain has l scan cells. The vector generated by an m-bit LFSR with the primitive polynomial can be expressed as S(t) = S0(t)S1(t)S2(t),..., Sm 1(t) www.ijarcst.com 453 All Rights Reserved, IJARCST 2014

International Journal of Advanced Research in ISSN : 2347-8446 (Online) Fig.1 : Symbolic representation of MSIC pattern (hereinafter referred to as the seed), and the vector generated by an l-bit Johnson counter can be expressed as J (t) = J0(t)J1(t)J2(t),..., Jl 1(t).The first clock cycle, J = J0 J1 J2,..., Jl 1 will bit-xor with S = S0S1S2,..., SM 1, and the results X1Xl+1X2l+1,..., X(M 1)l+1 will be shifted into M scan chains, respectively. In the second clock cycle, J = J0J1 J2,..., Jl 1 will be circularly shifted as J = Jl 1 J0 J1,..., Jl 2, which will also bit-xor with the seed S= S0S1S2,..., SM 1. The resulting X2Xl+2X2l+2,..., X(M 1)l+2 will be shifted into M scan chains, respectively. After l clocks, each scan chain will be fully loaded with a unique Johnson codeword, and seed S0S1S2,..., Sm 1 will be applied to m PIs. Therefore circular Johnson counter can generate l unique Johnson code words through circular shifting a Johnson vector, the circular John son counter and XOR gates in Fig. 1 actually constitute a linear sequential de compressor. B. Reconfigurable Johnson Counter For a short scan length, we develop a reconfigurable Johnson counter to generate an SIC sequence in time domain. As shown in Fig.2, it can operate in three modes. Fig. 3 : Scalable SIC counter As shown in Fig. 3, it contains a k-bit adder clocked by the rising SE signal, a k-bit subtractor clocked by test clock CLK2, an M -bit shift register clocked by test clock CLK2, and k multiplexers. The value of k is the integer of log2(l-m). The k-bit adder is clocked by the falling SE signal, and generates a new count that is the number of 1s (0s) to fill into the shift register. As shown in Fig. 3, it can operate in two modes. 1. If SE = 1 and the contents of the k-bit subtractor are not all zeros, M-Johnson will be kept at logic 1 (0). 2. Otherwise, it will be kept at logic0 (1). Thus the needed 1 s (0s) will be shifted into the M-bit shift register by clocking CLK2 l times, and unique Johnson code words will be applied into different scan chains. D. Scan Chains Fig. 4 : Scan Chain Fig. 2 : Reconfigurable Johnson counter 1) Initialization: When RJ_Mode is set to 1 and Init is set to logic 0, the reconfigurable Johnson counter will be initialized to all zero states by clocking CLK2 more than l times. 2) Circular shift register mode: When RJ_Mode and Init are set to logic 1, each stage of the Johnson counter will output a Johnson codeword by clocking CLK2 l times. 3) Normal mode: When RJ_Mode is set to logic 0, the reconfigurable Johnson counter will generate 2l unique SIC vectors by clocking CLK2 2l times. C. Scalable SIC counter When the maximal scan chain length l is much larger than the scan chain number M, we develop an SIC counter named the scalable SIC counter. Scan chains are the elements in scan-based designs that are used to shift-in and shift-out test data. A scan chain fig (4) is formed by a number of flip flops connected back to back in a chain with the output of one flip flop connected to another. The input of first flip flop is connected to the input pin of the chip(called scan-in) from where scan data is fed. The output of the last flip flop is connected to the output pin of the chip called (scan-out) which is used to take the shifted data out. E. MISR In test-per-scan scheme, the testing phase of the TPG fils the scan chains which will apply their contents to the circuit under test(cut). All the scan outputs are connected to the multiple input signature register (MISR), which will perform signature compaction. The possibilities to speedup the test process by using multiple scan chains or by using a partial scan solution. It is shown in fig (5). 2014, IJARCST All Rights Reserved 454 www.ijarcst.com

ISSN : 2347-8446 (Online) International Journal of Advanced Research in Fig. 5 : MISR III. Msic Sequences The proposed algorithm is to reduce the switching activity. In order to reduce the hardware overhead, the linear relations are selected with consecutive vectors or within a pattern, which can generate a sequence with a sequential de compressor, facilitating hardware implementation. Another requirement is that the MSIC sequence should not contain any repeated test patterns, because repeated patterns could prolong the test time and reduce test efficiency. Finally, uniformly distributed patterns are desired to reduce the test length i.e., number of patterns required to achieve a target fault coverage A. MSIC-TPGs for Test-per-Clock Schemes The MSIC-TPG for test-per-clock schemes is illustrated in Fig. 6. The CUT s PIs X 1 X mn are arranged as an n m SRAM-like grid structure. Each grid has a two-input XOR gate whose inputs are tapped from a seed output and an output of the Johnson counter. The outputs of the XOR gates are applied to the CUT s PIs. A seed generator is an m-stage conventional LFSR, and operates at low frequency CLK1. The test procedure is as follows. 1. The seed generator generates a new seed by clocking CLK1 one time. 2. The Johnson counter generates a new vector by clocking CLK2 one time. 3. Repeat 2 until 2l Johnson vectors are generated. 4. Repeat 1 3 until the expected fault coverage or test length is achieved. B. MSIC-TPG for Test-per-scan schemes The MSIC-TPG for test-per-scan schemes is illustrated in Fig. 7. The stage of the SIC generator is the same as the maximum scan length, and the width of a seed generator is not smaller than the scan chain number. The inputs of the XOR gates come from the seed generator and the SIC counter, and their outputs are applied to M scan chains, respectively. The output of the seed generator and XOR gates are applied to the CUT s PIs, respectively. The test procedure is as follows. 1. The seed circuit generates a new seed by clocking CLK1 one time. 2. RJ_Mode is set to 0. The reconfigurable Johnson counter will operate in the Johnson counter mode and 3. generate a Johnson vector by clocking CLK2 one time. 4. After a new Johnson vector is generated, RJ_Mode and Init are set to 1. The reconfigurable Johnson counter operates as a circular shift register, and generates l codewords by clocking CLK2 l times. Then, a capture operation is inserted. 5. Repeat 2 3 until 2l Johnson vectors are generated. 6. Repeat 1 4 until the expected fault coverage or test length is achieved. Fig.7 : Test -Per-Scan scheme III. Simulation Results The proposed architecture is designed using verilog HDL, simulated using modelsim software and synthesized using Xilinx project navigator. The simulated output for the proposed MSIC test pattern generator shown below. Fig. 6 : Test-Per-Clock Fig. 8 : MISR www.ijarcst.com 455 All Rights Reserved, IJARCST 2014

International Journal of Advanced Research in ISSN : 2347-8446 (Online) Fig.12 : Test per clock (Johnson counter based) Fig. 9 : Seed Generator Fig.13 : Test per scan (Johnson counter based) Fig. 10 : Scan flipflop Fig.14 : Test per clock (SIC counter based) Fig.11 : Scan chain 2014, IJARCST All Rights Reserved 456 www.ijarcst.com

ISSN : 2347-8446 (Online) International Journal of Advanced Research in Fig.15 : Test per scan (SIC counter based) V. Comparison Experiments on ISCAS 85 benchmarks and standard full-scan designs of ISCAS 89 benchmarks are conducted to analyze the performance of the proposed MSIC-TPG. The performance simulations are carried out with the Synopsys Design Analyzer and Prime Power. The area overheads of MSIC and LFSR are 21% 157% and 22% 258% respectively. The MSIC-TPG thus incurs less area overhead than the LFSR. The number of total equivalent gates is about 2309 for the conventional LFSR and 1089 for the MSIC-TPG. The MSIC-TPG saves 25% 50.0% total power and 15.6% 32.6% peak power against the conventional LFSR. VI. Conclusion This paper has proposed a low-power test pattern generation method that could be easily implemented by hardware. It also developed a theory to express a sequence generated by linear sequential architectures, and extracted a class of SIC sequences named MSIC. Analysis results showed that an MSIC sequence had the favorable features of uniform distribution, low input transition density, and low dependency relationship between the test length and the TPG s initial states. Combined with the proposed reconfigurable Johnson counter or scalable SIC counter, the MSIC-TPG can be easily implemented, and is flexible to testper-clock schemes and test-per-scan schemes. For a test-per-clock scheme, the MSIC-TPG applies SIC sequences to the CUT with the SRAM-like grid. For a test-per- scan scheme, the MSIC-TPG converts an SIC vector to low transition vectors for all scan chains. Experimental results and analysis results demonstrate that the MSIC-TPG is scalable to scan length, and has negligible impact on the test overhead. After the generating the MSIC, in this we conduct the validation process on the combinational logic circuit and verified the output. V. Acknowledgement References [1] Y. Zorian, A distributed BIST control scheme for complex VLSI devices, in 11th Annu. IEEE VLSI Test Symp. Dig. Papers, Apr. 1993, pp. 4 9. [2] P. Girard, Survey of low-power testing of VLSI circuits, IEEE Design Test Comput., vol. 19, no. 3, pp. 80 90, May Jun. 2002. [3] A. Abu-Issa and S. Quigley, Bit-swapping LFSR and scan-chain ordering: A novel technique for peak- and average-power reduction in scan-based BIST, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 28, no. 5, pp. 755 759, May 2009. [4] P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, J. Figueras, S. Manich, P. Teixeira, and M. Santos, Lowenergy BIST design: Impact of the LFSR TPG parameters on the weighted switching activ- ity, in Proc. IEEE Int. Symp. Circuits Syst., vol. 1. Jul. 1999, pp. 110 113. [5] S. Wang and S. Gupta, DS-LFSR: A BIST TPG for low switching activity, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 21, no. 7, pp. 842 851, Jul. 2002. [6] F. Corno, M. Rebaudengo, M. Reorda, G. Squillero, and M. Violante, Low power BIST via non-linear hybrid cellular automata, in Proc. 18th IEEE VLSI Test Symp., Apr. May 2000, pp.29 34. [7] P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, and H. Wun- derlich, A modified clock scheme for a low power BIST test pattern generator, in Proc. 19th IEEE VTS VLSI Test Symp., Mar. Apr. 2001, pp. 306 311. [8] D. Gizopoulos, N. Krantitis, A. Paschalis, M. Psarakis, and Y. Zorian, Low power/energy BIST scheme for datapaths, in Proc. 18th IEEE VLSI Test Symp., Apr. May 2000, pp. 23 28. [9] Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, and S.Pravos- soudovitch, A gated clock scheme for low power scan testing of logic ICs or embedded cores, in Proc. 10th Asian Test Symp., Nov. 2001, pp.253 258. [10] C. Laoudias and D. Nikolos, A new test pattern generator for high defect coverage in a BIST environment, in Proc.14th ACM Great Lakes Symp. VLSI, Apr. 2004, pp. 417 420. [11] S. Bhunia, H. Mahmoodi, D. Ghosh, S. Mukhopadhyay, and K. Roy, Low-power scan design using first-level supply gating, IEEE Trans.Very Large Scale Integr.(VLSI) Syst., vol. 13, no. 3, pp. 384 395, Mar.2005. [12] X. Kavousianos, D. Bakalis, and D. Nikolos, Efficient partial scan cell gating for low-power scan-based testing, ACM Trans. Design Autom. Electron. Syst., vol.14, no. 2, pp. 28-1 28-15, Mar. 2009. [13] P. Girard, L. Guiller, C. Landrault, and S.Pravossoudovitch, A test vector inhibiting technique for low energy BIST design, in Proc. 17th IEEE VLSI Test Symp., Apr. 1999, pp. 407 412. [14] S. Manich, A. Gabarro, M. Lopez, J. Figueras, P. Girard,L. Guiller, C. Landrault, S. Pravossoudovitch, P. Teixeira, and M. Santos, Low power BIST by filtering non-detecting vectors, J. Electron. Test.-Theory Appl., vol.16, no. 3, pp. 193 202, Jun. 2000. [15] N. Basturkmen, S. Reddy, and I. Pomeranz, A low power pseudo- random BIST technique, in Proc. IEEE Int.Conf. Comput. Design: VLSI Comput. Process., Sep. 2002, pp. 468 473. [16] S. Wang and S. Gupta, LT-RTPG: A new test-perscan BIST TPG for low switching activity, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 8,pp. 1565 1574, Aug. 2006. [17] M. Nourani, M. Tehranipoor, and N. Ahmed, Lowtransition test pattern generation for BIST-based applications, IEEE Trans. Comput., vol. 57, no. 3, pp. www.ijarcst.com 457 All Rights Reserved, IJARCST 2014

International Journal of Advanced Research in ISSN : 2347-8446 (Online) 303 315, Mar. 2008. Author s Profile D.PUNITHA received her A.M.I.E., degree in Electronics and Communication Engineering from the Institution of Engineers(India), in 2008. Currently doing M.E - VLSI Design in Sethu Institute of Technology, Tamilnadu, India. Her research interest includes: low Power VLSI and Testing of VLSI circuits. S.RAMKUMAR obtained his Bachelors in Engineering in Electronics and Communication from Anna University. And M.E. degree (VLSI Design) from Anna University Coimbatore on 2011.At present working as a assitant professor in Sethu Institute of Technology,Tamilnadu,India. His Areas of interest are Testing of VLSI circuits,vlsi security and networking.. 2014, IJARCST All Rights Reserved 458 www.ijarcst.com