Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Similar documents
Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Reduction of Area and Power of Shift Register Using Pulsed Latches

ISSN Vol.08,Issue.24, December-2016, Pages:

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

2. Conventional method 1 Shift register using PPCFF

Low-Power And Area-Efficient Shift Register Using Digital Pulsed Latches

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

Design Of Pulsed Latch Based Shift Register Using Multiplexer With Reduced Power And Area

Design Low-Power and Area-Efficient Shift Register Using SSASPL Pulsed Latch

Optimization of Power and Area Efficient Shift Register Using Pulsed Latch

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY

Design of Shift Register Using Pulse Triggered Flip Flop

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

A DELAY EFFICIENT LOW POWER SHIFT REGISTER BY MEANS OF PULSED LATCHES J.VIJAYA SAGAR 1, T.VIJAYA NIRMALA 2

Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner

ISSN Vol.04, Issue.12, November-2016, Pages:

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

ADVANCES in NATURAL and APPLIED SCIENCES

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

SHIFT REGISTER USING CNT FET BASED ON SENSE AMPLIFIER PULSED LATCH FOR LOW POWER APPLICATION

A Power Efficient Flip Flop by using 90nm Technology

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Design of Low Power and Area Efficient 256 Bits Shift Register Using Pulsed Latches

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P11 ISSN Online:

Low Power D Flip Flop Using Static Pass Transistor Logic

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

II. ANALYSIS I. INTRODUCTION

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Comparative study on low-power high-performance standard-cell flip-flops

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Design of Low Power Universal Shift Register

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Lecture 21: Sequential Circuits. Review: Timing Definitions

ANALYZE AND DESIGN OF HIGH SPEED ENERGY EFFICIENT PULSED LATCHES BASED SHIFT REGISTER FOR ALL DIGITAL APPLICATION

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Power Optimization by Using Multi-Bit Flip-Flops

PTL-AND CLOCK-PULSE CIRCUIT DRIVEN NOVEL SHIFT REGISTER ARCHITECTURE

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Load-Sensitive Flip-Flop Characterization

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Current Mode Double Edge Triggered Flip Flop with Enable

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

EE-382M VLSI II FLIP-FLOPS

Figure.1 Clock signal II. SYSTEM ANALYSIS

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

Implementation of Counter Using Low Power Overlap Based Pulsed Flip Flop

Design of an Efficient Low Power Multi Modulus Prescaler

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Low Power Area Efficient VLSI Architectures for Shift Register Using Explicit Pulse Triggered Flip Flop Based on Signal Feed-Through Scheme

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

Minimization of Power for the Design of an Optimal Flip Flop

A Low Power Delay Buffer Using Gated Driver Tree

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

Transcription:

International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift C. Pushpavathi 1 Y. Praveen Kumar Reddy 2 1PG Scholar, Department of ECE, Geethanjali Engineering College, Nannur-V, Kurnool-Dist. 2Assistant Professor, Department of ECE, Geethanjali Engineering College, Nannur-V, Kurnool-Dist. To Cite this Article C. Pushpavathi and Y. Praveen Kumar Reddy, Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift, International Journal for Modern Trends in Science and Technology, Vol. 02, Issue 10, 2016, pp. 93-97. ABSTRACT Shift s are building blocks used for the storage of data in many devices. Currently, Flip flops which have been used in Shift s consume more Power and impose a heavy load on Clock distribution networks. The Proposed work overcomes the Power consumption and reduces the delay by using the Pulsed Latches instead of the Flip flops. Conventional Latches-Static differential Sense Amplifier Shared Pulse Latch (SSASPL) has been used where the number of Transistors has been reduced. Trigger generator is used to give non overlap clock signals to the memory elements, which reduced the delay and produced the fast implementation of the data. The Power consumed reduces by 27% and delay reduces by 21% when compared to the Shift s using Flip flops. KEYWORDS: Area efficient, pulsed clock generator, pulsed latch, shift register. Copyright 2016 International Journal for Modern Trends in Science and Technology All rights reserved. I. INTRODUCTION Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers and designers for designing integrated circuits were on area, speed, and cost; while secondary importance was paid to power dissipation. In recent years, however, this scenario has changed and now developing of different circuit techniques for low power circuit design is an important research area. On designing any combinational or sequential circuits, the power consumption, implementation area, speed, voltage leakage, and efficiency of the circuit are the important parameters to be considered initially. These parameters are inter related to each other so in order to obtain few parameters remain may have less preference. Shift register have several type of applications like data conversion between parallel to serial or serial to parallel, counters, parity generator, etc. Coming to the real time applications like image processing ICs [4]-[6], digital filters [1] and communication receivers [3] also we are using shift registers. Let consider the shift register application in image processing. As the size of the image data continues to increase due to the high demand for High quality image data, the word length of the shifter register increases to process large image data in image processing ICs [3]. As the word length of the shifter register increases, the area and power consumption of the shift register become important design considerations. Our proposed project is to reduce the power and area of the circuit by replacing the flip-flops with the latches in the shift register. Technology has invaded into the life of human beings to such deep extent that today everyone has a wish for smaller faster fancier gadgets. This wish 93 International Journal for Modern Trends in Science and Technology

has been granted to them by the technological developments in the field of VLSI technology. A SHIFT register is the basic building block in a VLSI circuit. Shift registers find them to be use full commonly in many applications, such as digital filters, communication receivers, and image processing ICs. Now a days, as there is high demand of images with utmost clarity the size of the image data continues to increase, the word length of the shifter register increases to process large image data in image processing ICs. An image-extraction and vector generation VLSI chip uses a 4K-bit shift register. A 10-bit 208 channel output LCD column driver IC uses a 2K-bit shift register. A 16-megapixel CMOS image sensor uses a 45K-bit shift register. As the word length of the shifter register increases, the area and power consumption of the shift register become important design considerations. The designing of a shift register is quite simple. An n bit shift register is composed of series connected N data flip-flops. The speed of the flip flops is of no major constraint here as there are no connections between the shift registers and flip flops. The smallest flip flop is enough to drive the requirement of the shift register and for this same reason the pulsed latches have replaced the flip flops as they are much smaller in size Vis a Vis the flip flops there by reducing power consumption. Although there are some drawbacks like that of the timing problem, which is easy to overcome by the use of multiple clocked pulses instead of a simple single pulsed clock. The shift register uses a small number of the pulsed clock signals by grouping the latches to several sub shifter registers and using additional temporary storage latches. In electronics, a flip-flop or latch is a circuit that has two stable states and can be used to store state information. A flip-flop is a bi stable multi vibrator. The circuit can be made to change state by signals applied to one or more control inputs and will have one or two outputs. It is the basic storage element in sequential logic. Flip-flops and latches are fundamental building blocks of digital electronics systems used in computers, communications, and many other types of systems. Flip-flops and latches are used as data storage elements. A flip-flop stores a single bit (binary digit) of data; one of its two states represents a "one" and the other represents a "zero". Such data storage can be used for storage of state, and such a circuit is described as sequential logic. When used in a finite-state machine, the output and next state depend not only on its current input, but also on its current state (and hence, previous inputs). It can also be used for counting of pulses, and for synchronizing variably-timed input signals to some reference timing signal. II. RELATED WORK In conventional method shift register is designed by serial connection of the master-slave flip flops. The following figure shows the master slave flip flop. Fig. 1: Master Slave Flip Flop The PowerPC master slave latch(fig. 1), presented in [2], is one of the fastest classical structures. Its main advantages are a short direct path and low-power feedback. But one has to keep in mind another aspect of this structure is its large clock load [5], which greatly influences the total power consumption on-chip. Fig. 2: PPCFF Hybrid-latch flip-flop (HLFF) (Fig. 3), presented in [8], and is one of the fastest structures presented. It also has a very small PDP. The major advantage of this structure is its soft-edge property, i.e., its robustness to clock skew. One of the major drawbacks of the hybrid design in general is the positive hold time. Due to the single-output design, the power consumption range of the HLFF is comparable to that of the static circuits. However, depending on the power distribution, pre charged structures can dissipate more than static structures for data patterns with more ones. Hybrid design appears to be very suitable for high-performance systems with little or no penalty in power when compared to classical static structures [7]. 94 International Journal for Modern Trends in Science and Technology

Fig. 3: HLFF Another interesting approach to hybrid design is the semi-dynamic flip-flop (SDFF) structure (Fig. 4) presented in [10].It is the fastest of all the presented structures. The significant advantage over HLFF [9] is that there is very little performance penalty for embedded logic functions. The disadvantages are bigger clock load and larger effective pre charge capacitance, which results in increased power consumption for data patterns with more ones. This is still the most convenient structure or applications where speed is of primary importance, without a big penalty in power consumption. non-overlap delayed pulsed clock signals instead of the conventional single pulsed clock signal. The shift register uses a small number of the pulsed clock signals by grouping the latches to several sub shifter registers and using additional temporary storage latches. All pulsed latches share the pulse generation circuit for the pulsed clock signal. As a result, the area and power consumption of the pulsed latch become almost half of those of the master-slave flip-flop. The pulsed latch cannot be used in shift registers due to the timing problem occurred in latch. The following figures show the timing problem in the shifter register. The output signal of the first latch (Q1) changes correctly because the inputs signal of the first latch (IN) is constant during the clock pulse width. But the second latch has an uncertain output signal (Q2) because its input signal (Q1) changes during the clock pulse width. Fig. 6(a): Series Connection of Latches (Shift ) (b) Timing Problem in Latches. Fig. 4. SDFF Optimized shift register designs are not achieved with the use of master-slave flip flops. Performance parameters such as area and power can be reduced with use of pulsed latches. Hence master-slave using two latches are can be replaced by pulsed latch consisting of latch with pulsed clock signal [7] which is shown in fig. 5. Fig. 5: Pulsed Latch III. IMPLEMENTATION Proposed work is a low-power and area-efficient shift register using pulsed latches. The shift register solves the timing problem using multiple This timing problem can be overcome with the use of multiple non overlapped delayed pulsed clock signals. The delayed pulsed clock signals are generated when a pulsed clock signal goes through delay circuits. Each latch uses a pulsed clock signal which is delayed from the pulsed clock signal used in its next latch. Therefore, each latch updates the data after its next latch updates the data. Below figure shows an example the proposed shift register. The proposed shift register is divided into M sub shifter registers to reduce the number of delayed pulsed clock signals. A 4-bit sub shifter register consists of five latches and it performs shift operations with five non-overlap delayed pulsed clock signals (CLK _pulse<1:4> and CLK _pulse<t>). In the 4-bit sub shift register #1, four latches store 4-bit data (Q1-Q4) and the last latch stores 1-bit temporary data (T1) which will be stored in the first latch (Q5) of the 4-bit sub shift register #2. Five non-overlaps delayed pulsed clock signals are generated by the delayed pulsed clock generator. The operations of the other sub shift 95 International Journal for Modern Trends in Science and Technology

registers are the same as that of the sub shift register #1 except that the first latch receives data from the temporary storage latch in the previous sub shift register. IV. EXPERIMENTAL RESULTS To compare the performances of the proposed shift register with the flip-flop based shift register, each circuit was designed using 180nm technology with VDD=1.8V, fclk=100mhz, Temp=250Cand simulated with HSPICE. The Avan waves for the three comparators are shown below. Fig. 7: Proposed Shift When an N-bit shift register is divided into K-bit sub shift registers, the number of clock-pulse circuits is K+1 and the number of latches is N+N/K. A K-bit sub shift register consisting of K+1latches requires K+1 pulsed clock signals. The number of sub shift registers M becomes N/K, each sub shift register has a temporary storage latch. Therefore, N/K latches are added for the temporary storage latches. A 64-bit shift register with sub shift register size 4 (K=4) requires 16 sub shift registers. And each sub shift register consist of 5 latches. Below figure is the pulse clock generator. Which gives the multiple non overlap delayed pulse clock signals for K-bit sub shift register. Fig. 9. Simulated waveforms for SSASPL four bit shift register Table 1 shows the performance comparisons of shift registers. The conventional shift register using flip-flops was implemented with the PPCFFs. The proposed shift register using pulsed latches were implemented with the SSASPLs. The proposed shift register achieves a small area and low power consumption compared to the conventional shift register as the numbers of transistors are less. Table 1 Fig. 8: Non Overlap Clock Pulse Generator V. CONCLUSION The shift register reduces area and power consumption by replacing flip-flops with pulsed latches. A 64-bit shift register was implemented 96 International Journal for Modern Trends in Science and Technology

using a 0.18 um CMOS technology with vdd=1.8v at clock frequency of 100 MHz. which consumed the power and area compared to conventional method that is shift register using flip flops. [10] 180nm Predictive Technology Model (PTM), [Online] Available: http://www.eas.asu.edu/~ptm/latest.htm. A conclusion section is not required. Although a conclusion may review the main points of the paper, do not replicate the abstract as the conclusion. A conclusion might elaborate on the importance of the work or suggest applications and extensions. REFERENCES [1] P. Reyes, P. Reviriego, J. A. Maestro, O. Ruano, New protection techniques against SEUs for moving average filters in a radiation environment, IEEE Trans. Nucl. Sci., Vol. 54, No. 4, pp. 957 964, Aug.2007. [2] V. Stojanovic, V. Oklobdzija, Comparative analysis of masterslavelatches and flip-flops for high-performance and low-power systems, IEEE J. Solid-State Circuits, Vol. 34, No. 4, pp. 536 548, Apr. 1999. [3] M. Hatamianet al., Design considerations for gigabit Ethernet 1000base-T twisted pair transceivers, Proc. IEEE Custom Integr. CircuitsConf., pp. 335 342, 1998. [4] H. Yamasaki, T. Shibata, A real-time image-featureextraction and vector-generation vlsi employing arrayedshift-register architecture, IEEE J. Solid-State Circuits, Vol. 42, No. 9, pp. 2046 2053, Sept. 2007. [5] S. Heo, R. Krashinsky, K. Asanovic, Activity-sensitive flipflopand latch selection for reduced energy, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Vol. 15, No. 9, pp. 1060 1064, Sep. 2007. [6] S.-H. W. Chiang, S. Kleinfelder, Scaling and design of a 16-megapixelCMOS image sensor for electron microscopy, in Proc. IEEE Nucl. Sci. Symp. Conf. Record (NSS/MIC), 2009, pp. 1249 1256. [7] S. Heo, R. Krashinsky, K. Asanovic, Activity-sensitive flip flopand latch selection for reduced energy, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Vol. 15, No. 9, pp. 1060 1064, Sep. 2007. [8] E. Consoli, M. Alioto, G. Palumbo, J. Rabaey, Conditional push-pull pulsed latch with 726 flops energy delay product in 65 nm CMOS, In IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2012, pp. 482 483. [9] H. Partoviet al., Flow-through latch and edge-triggered flipflop hybrid elements, IEEE Int. olid-state Circuits Conf. (ISSCC) Dig. Tech.Papers, pp. 138 139, Feb. 1996. 97 International Journal for Modern Trends in Science and Technology