Lecture 23 Design for Testability (DFT): Full-Scan

Similar documents
Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Design for Testability

Design for Testability Part II

K.T. Tim Cheng 07_dft, v Testability

Chapter 8 Design for Testability

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Scan. This is a sample of the first 15 pages of the Scan chapter.

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Ad-Hoc DFT Methods Good design practices learned through experience are used as guidelines:

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Overview: Logic BIST

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

Testing Digital Systems II

TKK S ASIC-PIIRIEN SUUNNITTELU

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Design of Fault Coverage Test Pattern Generator Using LFSR

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo


Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

UNIT IV CMOS TESTING. EC2354_Unit IV 1

VLSI System Testing. BIST Motivation

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Simulation Mismatches Can Foul Up Test-Pattern Verification

At-speed Testing of SOC ICs

Based on slides/material by. Topic Testing. Logic Verification. Testing

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

VLSI Test Technology and Reliability (ET4076)

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

Testing Sequential Circuits

Level and edge-sensitive behaviour

2.6 Reset Design Strategy

11. Sequential Elements

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Digital Integrated Circuits Lecture 19: Design for Testability

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

ECE 715 System on Chip Design and Test. Lecture 22

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Unit V Design for Testability

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Slide Set 14. Design for Testability

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Lecture 10: Sequential Circuits

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Chapter 10 Exercise Solutions

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

VirtualScan TM An Application Story

New Directions in Manufacturing Test

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Testing Digital Systems II

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

CSE 352 Laboratory Assignment 3

This Chapter describes the concepts of scan based testing, issues in testing, need

VARIABLE FREQUENCY CLOCKING HARDWARE

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC

Project 6: Latches and flip-flops

Nodari S. Sitchinava

DFT Timing Design Methodology for At-Speed BIST

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Chapter 2 Clocks and Resets

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

At-speed testing made easy

Lecture 11: Sequential Circuit Design

Weighted Random and Transition Density Patterns For Scan-BIST

Computer Systems Architecture

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

Sequential Design Basics

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

More Digital Circuits

Why FPGAs? FPGA Overview. Why FPGAs?

0 0/1 0/1 0/1 0/1 0/1 0/1 0/1 0/1 1 1 Stop bits. 11-bit Serial Data format

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Testing Digital Systems II

CHAPTER1: Digital Logic Circuits

Transcription:

Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 1

Definition Design for testability (DFT) refers to those design techniques that make test generation and test application cost-effective. DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Partial Scan Built-in self-test (BIST) Boundary scan DFT method for mixed-signal circuits: Analog test bus Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 2

Ad-Hoc DFT Methods Good design practices learnt through experience are used as guidelines: Avoid asynchronous (unclocked) feedback. Make flip-flops initializable. Avoid redundant gates. Avoid large fanin gates. Provide test control for difficult-to-control signals. Avoid gated clocks. Consider ATE requirements (tristates, etc.) Design reviews conducted by experts or design auditing tools. Disadvantages of ad-hoc DFT methods: Experts and tools not always available. Test generation is often manual with no guarantee of high fault coverage. Design iterations may be necessary. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 3

Scan Design Circuit is designed using pre-specified design rules. Test structure (hardware) is added to the verified design: Add a test control (TC) primary input. Replace flip-flops by scan flip-flops (SFF) and connect to form one or more shift registers in the test mode. Make input/output of each scan shift register controllable/observable from PI/PO. Use combinational ATPG to obtain tests for all testable faults in the combinational logic. Add shift register tests and convert ATPG tests into scan sequences for use in manufacturing test. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 4

Scan Design Rules Use only clocked D-type of flip-flops for all state variables. At least one PI pin must be available for test; more pins, if available, can be used. All clocks must be controlled from PIs. Clocks must not feed data inputs of flip-flops. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 5

Correcting a Rule Violation All clocks must be controlled from PIs. Comb. logic D1 Q CK D2 FF Comb. logic Comb. logic D2 D1 CK FF Q Comb. logic Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 6

Scan Flip-Flop (SFF) D TC Logic overhead Master latch Slave latch Q SD MUX Q CK D flip-flop CK Master open Slave open t TC Normal mode, D selected Scan mode, SD selected t Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 7

Level-Sensitive Scan-Design Flip-Flop (LSSD-SFF) Master latch Slave latch D Q MCK Q SCK D flip-flop SD TCK Logic overhead MCK TCK MCK TCK Normal mode Scan mode SCK Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 8 t

Adding Scan Structure PI PO Combinational SFF SCANOUT logic SFF SFF TC or TCK SCANIN Not shown: CK or MCK/SCK feed all SFFs. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 9

An Example Combinational Circuit y 2 F G1 F y 1 G2 G3 Z D 1 Q Y 1 D 2 Q Y 2 Clk Clk Clk

Inserting the Muxes Combinational Circuit y 2 F G1 F y 1 G2 G3 Z 0 0 1 D 1 Q Y 1 0 1 D 2 Q Y 2 1 Scan-out Clk Clk Clk Scan-in

Comb. Test Vectors PI I2 I1 O1 O2 PO SCANIN TC Combinational logic SCANOUT Presen t state S1 S2 N1 N2 Next state Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 12

Comb. Test Vectors PI I1 I2 Don t care or random bits SCANIN S1 S2 TC 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 PO O1 O2 SCANOUT N1 N2 Sequence length = (n comb + 1) n sff + n comb clock periods n comb = number of combinational vectors n sff = number of scan flip-flops Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 13

Testing Scan Register Scan register must be tested prior to application of scan test sequences. A shift sequence 00110011... of length n sff +4 in scan mode (TC=0) produces 00, 01, 11 and 10 transitions in all flip-flops and observes the result at SCANOUT output. Total scan test length: (n comb + 2) n sff + n comb + 4 clock periods. Example: 2,000 scan flip-flops, 500 comb. vectors, total scan test length ~ 10 6 clocks. Multiple scan registers reduce test length. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 14

Multiple Scan Registers Scan flip-flops can be distributed among any number of shift registers, each having a separate scanin and scanout pin. Test sequence length is determined by the longest scan shift register. Just one test control (TC) pin is essential. PI/SCANIN Combinational logic SFF M U X PO/ SCANOUT SFF SFF TC CK Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 15

Scan Overheads IO pins: One pin necessary. Area overhead: Gate overhead = [4 n sff /(n g +10n sff )] x 100%, where n g = comb. gates; n ff = flip-flops; Example n g = 100k gates, n sff = 2k flipflops, overhead = 6.7%. More accurate estimate must consider scan wiring and layout area. Performance overhead: Multiplexer delay added in combinational path; approx. two gate-delays. Flip-flop output loading due to one additional fanout; approx. 5-6%. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 16

Hierarchical Scan Scan flip-flops are chained within subnetworks before chaining subnetworks. Advantages: Automatic scan insertion in netlist Circuit hierarchy preserved helps in debugging and design changes Disadvantage: Non-optimum chip layout. Scanin SFF1 SFF4 Scanout Scanin SFF1 SFF3 Scanout SFF2 SFF3 SFF4 SFF2 Hierarchical netlist Flat layout Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 17

Optimum Scan Layout X X IO pad SFF cell Flipflop cell Y Y SCANIN TC SCAN OUT Routing channels Interconnects Active areas: XY and X Y Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 18

Scan Area Overhead Linear dimensions of active area: X = (C + S) / r X = (C + S + αs) / r Y = Y + ry = Y + Y(1 β) / T Area overhead X Y XY = 100% XY 1 β = [(1+αs)(1+ ) 1] x 100% T 1 β = (αs + ) x 100% T y = track dimension, wire width+separation C = total comb. cell width S = total non-scan FF cell width s = fractional FF cell area = S/(C+S) α = SFF cell width fractional increase r = number of cell rows or routing channels β = routing fraction in active area T = cell height in track dimension y Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 19

Example: Scan Layout 2,000-gate CMOS chip Fractional area under flip-flop cells, s = 0.478 Scan flip-flop (SFF) cell width increase, α = 0.25 Routing area fraction, β = 0.471 Cell height in routing tracks, T = 10 Calculated overhead = 17.24% Actual measured data: Scan implementation Area overhead Normalized clock rate None 0.0 1.00 Hierarchical 16.93% 0.87 Optimum layout 11.90% 0.91 Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 20

ATPG Example: S5378 Number of combinational gates Number of non-scan flip-flops (10 gates each) Number of scan flip-flops (14 gates each) Gate overhead Number of faults PI/PO for ATPG Fault coverage Fault efficiency CPU time on SUN Ultra II, 200MHz processor Number of ATPG vectors Scan sequence length Original 2,781 179 0 0.0% 4,603 35/49 70.0% 70.9% 5,533 s 414 414 Full-scan 2,781 0 179 15.66% 4,603 214/228 99.1% 100.0% 5 s 585 105,662 Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 21

Automated Scan Design Rule violations Combinational vectors Scan design rule audits Combinational ATPG Scan sequence and test program generation Behavior, RTL, and logic Design and verification Gate-level netlist Scan chain order Scan hardware insertion Scan netlist Chip layout: Scanchain optimization, timing verification Test program Design and test data for manufacturing Mask data Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 22

Timing and Power Small delays in scan path and clock skew can cause race condition. Large delays in scan path require slower scan clock. Dynamic multiplexers: Skew between TC and TC signals can cause momentary shorting of D and SD inputs. Random signal activity in combinational circuit during scan can cause excessive power dissipation. Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 23

Summary Scan is the most popular DFT technique: Rule-based design Automated DFT hardware insertion Combinational ATPG Advantages: Design automation High fault coverage; helpful in diagnosis Hierarchical scan-testable modules are easily combined into large scan-testable systems Moderate area (~10%) and speed (~5%) overheads Disadvantages: Large test data volume and long test time Basically a slow speed (DC) test Copyright 2001, Agrawal & Bushnell VLSI Test: Lecture 23/19alt 24