Optimization of memory based multiplication for LUT

Similar documents
ALONG with the progressive device scaling, semiconductor

Design of Memory Based Implementation Using LUT Multiplier

OMS Based LUT Optimization

A Novel Architecture of LUT Design Optimization for DSP Applications

Implementation of Memory Based Multiplication Using Micro wind Software

LUT Optimization for Memory Based Computation using Modified OMS Technique

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

Design and Implementation of LUT Optimization DSP Techniques

Modified Reconfigurable Fir Filter Design Using Look up Table

K. Phanindra M.Tech (ES) KITS, Khammam, India

Memory efficient Distributed architecture LUT Design using Unified Architecture

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

Designing an Efficient and Secured LUT Approach for Area Based Occupations

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

Efficient Method for Look-Up-Table Design in Memory Based Fir Filters

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

N.S.N College of Engineering and Technology, Karur

Designing Fir Filter Using Modified Look up Table Multiplier

Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier

The input-output relationship of an N-tap FIR filter in timedomain

An Lut Adaptive Filter Using DA

An Efficient Reduction of Area in Multistandard Transform Core

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

Memory Based Computing for DSP. Pramod Meher Institute for Infocomm Research

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Research Article. Implementation of Low Power, Delay and Area Efficient Shifters for Memory Based Computation

FPGA Implementation of DA Algritm for Fir Filter

FPGA Hardware Resource Specific Optimal Design for FIR Filters

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Implementation of Low Power and Area Efficient Carry Select Adder

An Efficient High Speed Wallace Tree Multiplier

An MFA Binary Counter for Low Power Application

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

A Fast Constant Coefficient Multiplier for the XC6200

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block

VLSI IEEE Projects Titles LeMeniz Infotech

L12: Reconfigurable Logic Architectures

Design of BIST with Low Power Test Pattern Generator

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Reconfigurable Fir Digital Filter Realization on FPGA

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Design on CIC interpolator in Model Simulator

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Figure.1 Clock signal II. SYSTEM ANALYSIS

Fully Pipelined High Speed SB and MC of AES Based on FPGA

Area and Speed Efficient Implementation of Symmetric FIR Digital Filter through Reduced Parallel LUT Decomposed DA Approach

Design and Analysis of Modified Fast Compressors for MAC Unit

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA

Implementation of CRC and Viterbi algorithm on FPGA

Modified128 bit CSLA For Effective Area and Speed

THE USE OF forward error correction (FEC) in optical networks

Hardware Modeling of Binary Coded Decimal Adder in Field Programmable Gate Array

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS

Research Article Low Power 256-bit Modified Carry Select Adder

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder

Optimization and Power Reduction of Built-In Repair Analyzer for Memories

SOC Implementation for Christmas Lighting with Pattern Display Indication RAMANDEEP SINGH 1, AKANKSHA SHARMA 2, ANKUR AGGARWAL 3, ANKIT SATIJA 4 1

L11/12: Reconfigurable Logic Architectures

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

Microprocessor Design

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

Design of Low Power Efficient Viterbi Decoder

A Parallel Area Delay Efficient Interpolation Filter Architecture

Implementation of High Speed Adder using DLATCH

Efficient Implementation of Multi Stage SQRT Carry Select Adder

Low Power Area Efficient Parallel Counter Architecture

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Design and Implementation of Encoder for (15, k) Binary BCH Code Using VHDL

A Low Power Delay Buffer Using Gated Driver Tree

FPGA Implementation of Viterbi Decoder

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Design of Carry Select Adder using Binary to Excess-3 Converter in VHDL

Improved 32 bit carry select adder for low area and low power

Design & Simulation of 128x Interpolator Filter

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reduction of Area and Power of Shift Register Using Pulsed Latches

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

VLSI Based Minimized Composite S-Box and Inverse Mix Column for AES Encryption and Decryption

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

An FPGA Implementation of Shift Register Using Pulsed Latches

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

DESIGN OF LOW POWER TEST PATTERN GENERATOR

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES

Transcription:

Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head, E.C.E Dept., Hyderabad, India ABSTRACT The multiplier uses LUT s as memory for their computations. The antisymmetric product coding (APC) and odd-multiple-storage (OMS) techniques were proposed for look-up-table (LUT) design. The APC and OMS techniques used for efficient memory-based multiplication. Therefore the combined approach provides a reduction in LUT size to onefourth of the conventional LUT. APC approach is combined with the OMS technique, the two s complement operations could be very much simplified since the input address and LUT output could always be transformed into odd integers. The proposed LUT design for small input sizes can be used for efficient implementation of high precision multiplication by input operand decomposition. The LUT based multiplier involves 5-bit word size. The area and delay can be improved. In multiplier if we reduce the number of LUT then delay can be reduced. Memory-based computing is well suited for many digital signal processing (DSP) algorithms, which involve multiplication with a fixed set of coefficients. Keywords - Digital Signal Processing (DSP), Selective Sign Reversal (SSR), LUT.. I. INTRODUCTION Along with the progressive device scaling, semiconductor memory has become cheaper, faster, and more power efficient.moreover, according to the projections of the international technology road map for semiconductors, embedded memories will have dominating presence in the system-on chips, which may exceed 90% of the total Soc content It has also been found that the transistor packing density of memory components is not only higher but also increasing much faster than those of logic components. Apart from that, memory based computing structures are more regular than the multiply accumulate structures and offer many other advantages, e.g., greater potential for high-throughput and low-latency implementation and less dynamic power consumption. Memory based computing is well suited for many digital signal processing (DSP) algorithms, which involve multiplication with a fixed set of coefficients. A conventional lookup-table (LUT) based multiplier is shown in Fig. 1, where A is a fixed coefficient, and X is an input word to be multiplied with A. Assuming X to be a positive binary number of word length L, there can be 2 L possible values of X, and accordingly, there can be 2 L possible values of product C = A X. Therefore, for memory-based, multiplication, an LUT of 2 L words, consisting of precomputed product values corresponding to all possible values of X, is conventionally used. Fig.1.Conventional LUT-based multiplier Several architectures have been reported in the literature for memory-based implementation of DSP algorithms involving orthogonal transforms and digital filters [2]-[8]. However, we do not find any significant work on LUT optimization for memory-based multiplication. I have presented a new approach to LUT design, where only the odd multiples of the fixed coefficient are required to be stored[9],, which we have referred to as the odd-multiple storage (OMS) scheme in this brief. In addition, we have shown that, by the antisymmetric product coding (APC) approach, the LUT size can also be reduced to half. II. PROPOSED LUT OPTIMIZATIONS We discuss here the proposed APC technique and its further optimization by combining it with a modified form of OMS. A. APC for LUT Optimization For simplicity of presentation, we assume both X and A to be positive integers. The product words for different values of X for L = 5 are shown in Table I. It may be observed in this Table I that the input word X on the first column of each row is the two s complement of that on the third column of the same row. the sum of product values corresponding to these two input values on the same row is 32A. Let the product values on the second and fourth columns of a row be u and v, respectively. Since one can write u = [(u + v)/2 (v u)/2] and v = [(u + v)/2 + (v u)/2], for (u + v) = 32A, we can have u = 16A [(v-u)/2] v = 16A + [(v-u)/2].this behaviour of the product words can be used to reduce the LUT size, where, instead of storing u and v, only [(v u)/2] is stored for a pair of input on a given row. The 4-bit LUT addresses and corresponding coded words are listed on the fifth and sixth columns of the table, respectively. Since the representation 699 P a g e

of the product is derived from the antisymmetric behaviour of the products, we can name it as antisymmetric product code. The desired product could be obtained by Product word = 16A + (sign value) (APCword) The product value for X = (10000) corresponds to APC value zero, which could be derived by resetting the LUT output, instead of storing that in the LUT. B. Modified OMS for LUT Optimization For [9] the multiplication of any binary word X of size L, with a fixed coefficient A, instead of storing all the 2 L possible values of C = A X, only (2 L /2) words corresponding to the odd multiples of A may be stored in the LUT, while all the even multiples of A could be derived by left-shift operations of one of those odd multiples. Based on the above assumptions, the LUT for the multiplication of an L-bit input with a W-bit coefficient could be designed by the following strategy 1. A memory unit of [(2 L /2) + 1] words of (W +L)-bit width is used to store the product values, where the first (2 L /2) words are odd multiples of multiples of A, and the last word is zero. 2. A barrel shifter for producing a maximum of (L 1) left shifts is used to derive all the even multiples of A 3. The L-bit input word is mapped to the (L 1)-bit address of the LUT by an address encoder, and control bits for the barrel shifter are derived by a control circuit. The even multiples 2A, 4A, and 8A are derived by leftshift operations of A. Similarly, 6A and 12A are derived by left shifting 3A, while 10A and 14A are derived by left shifting 5A and 7A, respectively. A barrel shifter for producing a maximum of three left shifts could be used to derive all the even multiples of A. III. IMPLEMENTATION OF LUT USING THE OPTIMIZATION SCHEME Here, we discuss the implementation of the LUT-based Multiplier using the proposed scheme, where the LUT is Optimized by a combination of the proposed APC scheme and a modified OMS technique. A. Implementation of the LUT Multiplier Using APC for L = 5 The structure and function of the LUT based multiplier for L = 5 using the APC technique is shown in Fig. 2. It consists of a four input LUT of 16 words to store the APC values of product words. Besides, it consists of an address mapping circuit and an add/subtract circuit. The address mapping circuit generates the desired address and can be optimized to be realized by three OR gates, and a NOT gate 700 P a g e

Fig. 2. LUT-based multiplier for L = 5 using the APC technique B. Implementation of the Optimized LUT Using Modified OMS The proposed APC OMS combined design of the LUT for L = 5 and for any coefficient width W is shown in Fig. 3. It consists of an LUT of nine words of (W + 4)-bit width, a four-to-nine-line address decoder, a barrel shifter, an address generation circuit, and a control circuit for generating the RESET signal and control word (s1s0) for the barrel shifter. The precomputed values of A (2i + 1) are stored as Pi, for i = 0, 1, 2,..., 7, at the eight consecutive locations of the memory array as specified in Table II. The decoder takes the 4-bit address from the address generator and generates nine word-select signals, i.e., {wi, for 0 i 8}, to select the referenced word from the LUT. Fig. 4 (a) Four-to-nine-line address-decoder. (b) Control circuit for generation of s0, s1, and RESET IV. RESULTS Top Module for LUT APC OMS Optimization Fig.5 LUT APC OMS Optimization Top Module Simulation Results of Top Module: Fig.3.Proposed APC OMS combined LUT design. The 4-to-9-line decoder is a simple modification of 3-to-8- line decoder as shown in Fig.4(a). The control bits s0 and s1 to be used by the barrel shifter to produce the desired number of shifts of the LUT output are generated by the control circuit, according to the corresponding relations. The RESET signal can alternatively be generated as (d3 AND x4). The control circuit to generate the control word and RESET is shown in Fig. 4(b). The address-generator circuit receives the 5-bit input operand X and maps that onto the 4 bit address word (d3d2d1d0). Fig. 6. Simulation Result for 32-bit 701 P a g e

(67.3% logic, 32.7% route) CPU : 8.00 / 8.86 s Elapsed : 8.00 / 8.00 s Total memory usage is 152856 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 23 ( 0 filtered) Number of infos : 2 ( 0 filtered) V. CONCLUSION Fig. 7. Simulation Result for 64-bit RTL schematic of Top Module: The proposed LUT multipliers for word size L = W = 5 and 6 bits are coded in VHDL and synthesized in XilinxISE 12.2i. Simulation Part is done in Modelsim 6.3c, where the LUTs are implemented as arrays of constants, and additions are implemented by the Wallace tree and ripple carry array. The CSD-based multipliers having the same addition schemes are also synthesized with the same technology library. we have shown the possibility of using LUT based multipliers to implement the constant multiplication for DSP applications. VI. FUTURE SCOPE SYNTHESIS REPORT: Source Parameters: Input File Name Input Format Ignore Synthesis Constraint File Target Parameters: Output File Name Output Format Target Device Device utilization summary: Selected Device : 3s500efg320-5 : "lutapcoms.prj" : mixed : NO : "lutapcoms" : NGC : xc3s500e-5-fg320 Number of Slices: 72 out of 4656 1% Number of 4 input LUTs: 131 out of 9312 1% Number of IOs: 20 Number of bonded IOBs: 20 out of 232 8% Timing Detail: All values displayed in nanoseconds (ns) Timing constraint: Default path analysis Total number of paths / destination ports: 713114 / 9 Delay: 24.886ns (Levels of Logic = 25) Source: x<1> (PAD) Destination: output<8> (PAD) Data Path: x<1> to output<8> Gate Net Cell: in->out fanout Delay Delay Logical Name (Net Name) Total 24.886ns (16.759ns logic, 8.126ns route) The LUT multipliers for word size L = W = 8, 16, and 32 bits will be coded in VHDL and synthesizing using XilinxISE 12.2i. for the Simulation Part we are going to used Modelsim 6.3c for More Less Area and Less Multiplication Time than CSD. VII. ACKNOWLEDGEMENT I would like to articulate my profound gratitude and indebtedness to Assoc. Prof Uma rani, Asst. Prof Naga kishore, Asst. Prof Swetha for guiding and encouraging me in all aspects. I wish to extend my sincere thanks to Asst. Prof Naga kishore for giving support REFERENCES [1] J.-I. Guo, C.-M. Liu, and C.-W. Jen, The efficient memory-based VLSI array design for DFT and DCT, IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process.,Oct. 1992. [2] H.-R. Lee, C.-W. Jen, and C.-M. Liu, On the design automation of the memory-based VLSI architectures for FIR filters, IEEE Trans. Consum. Electron., Aug. 1993 [3] D. F. Chiper, M. N. S. Swamy, M. O. Ahmad, and T.Stouraitis, A systolic array architecture for the discrete sine transform, IEEE Trans. Signal Process.,Sep. 2002. [4]A.K.Sharma,Advanced Semi conductor Memories: Architectures,Designs, and Applications. Piscataway, NJ: IEEE Press, 2003. [5] H.-C. Chen, J.-I. Guo, T.-S. Chang, and C.-W. Jen, A memory-efficient realization of cyclic convolution and its application to discrete cosine transform, IEEE Trans.Circuits Syst. Video Technol., Mar. 2005. 702 P a g e

[6] D. F. Chiper, M. N. S. Swamy, M. O. Ahmad, and T. Stouraitis, Systolic algorithms and a memory-based design approach for a unified architecture for the computation of DCT/DST/IDCT/IDST, IEEE Trans. Circuits Syst. I,Jun. 2005. [7]P. K. Meher, Systolic designs for DCT using a low complexity concurrent convolutional formulation, IEEE Trans. Circuits Syst. Video Technol., Sep. 2006. [8] P. K. Meher, Memory-based hardware for resourceconstrained digital signal processing systems, Dec. 2007. [9] P. K. Meher, New approach to LUT implementation and accumulation for memory-based multiplication, May 2009. [10] P. K. Meher, New look-up-table optimizations for memory-based multiplication, in Proc. ISIC, Dec. 2009. 703 P a g e