for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Similar documents
Using on-chip Test Pattern Compression for Full Scan SoC Designs

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Overview: Logic BIST

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Scan. This is a sample of the first 15 pages of the Scan chapter.

VLSI Test Technology and Reliability (ET4076)

VLSI System Testing. BIST Motivation

Simulation Mismatches Can Foul Up Test-Pattern Verification

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

ECE 715 System on Chip Design and Test. Lecture 22

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Design for Testability

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Design of Fault Coverage Test Pattern Generator Using LFSR

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Testing Digital Systems II

Lecture 23 Design for Testability (DFT): Full-Scan

This Chapter describes the concepts of scan based testing, issues in testing, need

Testing Sequential Circuits

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29


Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Testing Digital Systems II

Design for test methods to reduce test set size

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

At-speed Testing of SOC ICs

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Testing Digital Systems II

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

K.T. Tim Cheng 07_dft, v Testability

Unit V Design for Testability

DESIGN FOR TESTABILITY

At-speed testing made easy

Changing the Scan Enable during Shift

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Based on slides/material by. Topic Testing. Logic Verification. Testing

Diagnosis of Resistive open Fault using Scan Based Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Lecture 18 Design For Test (DFT)

Design for Testability Part II

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Digital Integrated Circuits Lecture 19: Design for Testability

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

Controlling Peak Power During Scan Testing

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Chip-Level DFT: Some New, And Not So New, Challenges

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Nodari S. Sitchinava

VirtualScan TM An Application Story

Slide Set 14. Design for Testability

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

High-Frequency, At-Speed Scan Testing

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Chapter 10 Exercise Solutions

Nanometer Technology Designs High-Quality Delay Tests

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

ISSN (c) MIT Publications

New Directions in Manufacturing Test

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

E-Learning Tools for Teaching Self-Test of Digital Electronics

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Comparing Functional and Structural Tests

An Experiment to Compare AC Scan and At-Speed Functional Testing

TKK S ASIC-PIIRIEN SUUNNITTELU

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Design of BIST Enabled UART with MISR

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

Implementation of Scan Insertion and Compression for 28nm design Technology

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

VLSI Chip Design Project TSEK06

Transactions Brief. Circular BIST With State Skipping

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Transcription:

Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H

Contents Preface Acknowledgments Introduction iii v xxiii 1 Test and Design-for-Test Fundamentals 1 1.1 Introduction to Test and DFT Fundamentals 3 1.1.1 Purpose 3 1.1.2 Introduction to Test, the Test Process, and Design-for-Test 3 1.1.3 Concurrent Test Engineering 4 1.2 The Reasons for Testing 7 1.2.1 Why Test? Why Add Test Logic? 7 1.2.2 Pro and Con Perceptions of DFT 7 1.3 The Definition of Testing 10 1.3.1 What Is Testing? 10 1.3.2 Stimulus 11 1.3.3 Response 11 1.4 Test Measurement Criteria 13 1.4.1 What Is Measured? 13 1.4.2 Fault Metrie Mathematics 14 VI!

viii Contents 1.5 Fault Modeling 16 1.5.1 Physical Defects 16 1.5.2 Fault Modeling 16 1.6 Types of Testing 20 1.6.1 Functional Testing 20 1.6.2 Structural Testing 20 1.6.3 Combinational Exhaustive and Pseudo-Exhaustive Testing 20 1.6.4 Füll Exhaustive Testing 21 1.6.5 TestStyles 21 1.7 Manufacturing Test 23 1.7.1 The Manufacturing Test Process 23 1.7.2 Manufacturing Test Load Board 23 1.7.3 Manufacturing Test Program 23 1.8 Using Automatic Test Equipment 25 1.8.1 Automatic Test Equipment 25 1.8.2 ATE Limitations 25 1.8.3 ATE Cost Considerations 25 1.9 Test and Pin Timing 27 1.9.1 Tester and Device Pin Timing 27 1.9.2 Tester Edge Sets 27 1.9.3 Tester Precision and Accuracy 28 1.10 Manufacturing Test Program Components 30 1.10.1 The Pieces and Parts of a Test Program 30 1.10.2 Test Program Optimization 32 1.11 Recommended Reading 33 2 Automatic Test Pattern Generation Fundamentals 35 2.1 Introduction to Automatic Test Pattern Generation 37 2.1.1 Purpose 37 2.1.2 Introduction to Automated Test Pattern Generation 37 2.1.3 The Vector Generation Process Flow 38 2.2 The Reasons for ATPG 41 2.2.1 WhyATPG? 41 2.2.2 Pro and Con Perceptions of ATPG 41 2.3 The Automatic Test Pattern Generation Process 44 2.3.1 Introduction to ATPG 44

Contents IX 2.4 Introducing the Combinational Stuck-At Fault 47 2.4.1 Combinational Stuck-At Faults 47 2.4.2 Combinational Stuck-At Fault Detection 47 2.5 Introducing the Delay Fault 49 2.5.1 Delay Faults 49 2.5.2 Delay Fault Detection 49 2.6 Introducing the Current-Based Fault 52 2.6.1 Current-Based Testing 52 2.6.2 Current-Based Testing Detection 52 2.7 Testability and Fault Analysis Methods 54 2.7.1 Why Conduct ATPG Analysis or Testability Analysis? 54 2.7.2 What Types of Testability Analysis Are Available? 54 2.7.3 Fault Effective Circuits 54 2.7.4 Controllability-Observability Analysis 55 2.7.5 Circuit Learning 56 2.8 Fault Masking 58 2.8.1 Causes and Effects of Fault Masking 58 2.8.2 Fault Masking on Various Fault Models 58 2.9 Stuck Fault Equivalence 60 2.9.1 Fault Equivalence Optimization 60 2.9.2 Fault Equivalence Side Effects 60 2.10 Stuck-At ATPG 62 2.10.1 Fault Selection 62 2.10.2 Exercising the Fault 63 2.10.3 Detect Path Sensitization 63 2.11 Transition Delay Fault ATPG 65 2.11.1 Using ATPG with Transition Delay Faults 65 2.11.2 Transition Delay Is a Gross Delay Fault 66 2.12 Path Delay Fault ATPG 68 2.12.1 Path Delay ATPG 68 2.12.2 Robust Fault Detection 68 2.12.3 The Path Delay Design Description 69 2.12.4 Path Enumeration 69 2.13 Current-Based Fault ATPG 71 2.13.1 Current-Based ATPG Algorithms 71

X Contents 2.14 Combinational versus Sequential ATPG 73 2.14.1 Multiple Cycle Sequential Test Pattern Generation 73 2.14.2 Multiple Time Frame Combinational ATPG 74 2.14.3 Two-Time-Frame ATPG Limitations 75 2.14.4 Cycle-Based ATPG Limitations 75 2.15 Vector Simulation 77 2.15.1 Fault Simulation 77 2.15.2 Simulation for Manufacturing Test 77 2.16 ATPGVectors 80 2.16.1 Vector Formats 80 2.16.2 Vector Compaction and Compression 80 2.17 ATPG-Based Design Rules 83 2.17.1 The ATPG Tool "NO" Rules List 83 2.17.2 Exceptions to the Rules 84 2.18 Selecting an ATPG Tool 87 2.18.1 The Measurables 87 2.18.2 The ATPG Benchmark Process 88 2.19 ATPG Fundamentals Summary 91 2.19.1 Establishing an ATPG Methodology 91 2.20 Recommended Reading 92 3 Scan Architectures and Techniques 93 3.1 Introduction to Scan-Based Testing 95 3.1.1 Purpose 95 3.1.2 The Testing Problem 95 3.1.3 Scan Testing 96 3.1.4 Scan Testing Misconceptions 96 3.2 Functional Testing 99 3.3 The Scan Effective Circuit 101 3.4 The Mux-D Style Scan Flip-Flops 103 3.4.1 The Multiplexed-D Flip-Flop Scan Cell 103 3.4.2 Perceived Silicon Impact of the Mux-D Scan Flip-Flop 103 3.4.3 Other Types of Scan Flip-Flops 103 3.4.4 Mixing Scan Styles 104 3.5 Preferred Mux-D Scan Flip-Flops 106 3.5.1 Operation Priority of the Multiplexed-D Flip-Flop Scan Cell 106 3.5.2 The Mux-D Flip-Flop Family 106

Contents xi 3.6 The Scan Shift Register or Scan Chain 108 3.6.1 The Scan Architecture for Test 108 3.6.2 The Scan Shift Register (a.k.a The Scan Chain) 108 3.7 Scan Cell Operations 110 3.7.1 Scan Cell Transfer Functions 110 3.8 Scan Test Sequencing 112 3.9 Scan Test Timing 115 3.10 Safe Scan Shifting 118 3.11 Safe Scan Sampling: Contention-Free Vectors 120 3.11.1 Contention-Free Vectors 120 3.12 PartialScan 122 3.12.1 Scan Testing with Partial-Scan 122 3.12.2 Sequential ATPG 122 3.13 Multiple Scan Chains 125 3.13.1 Advantages of Multiple Scan Chains 125 3.13.2 Balanced Scan Chains 125 3.14 The Borrowed Scan Interface 128 3.14.1 Setting up a Borrowed Scan Interface 128 3.14.2 The Shared Scan Input Interface 128 3.14.3 The Shared Scan Output Interface 129 3.15 Clocking, On-Chip Clock Sources, and Scan 131 3.15.1 On-Chip Clock Sources and Scan Testing 131 3.15.2 On-Chip Clocks and Being Scan Tested 131 3.16 Scan-Based Design Rules 134 3.16.1 Scan-Based DFT and Design Rules 134 3.16.2 The Rules 134 3.17 Stuck-At (DC) Scan Insertion 139 3.17.1 DC Scan Insertion 139 3.17.2 Extras 139 3.17.3 DC Scan Insertion and Multiple Clock Domains 140 3.18 Stuck-At Scan Diagnostics 142 3.18.1 Implementing Stuck-At Scan Diagnostics 142 3.18.2 Diagnostic Fault Simulation 142 3.18.3 Functional Scan-Out 143 3.19 At-Speed Scan (AC) Test Goals 145 3.19.1 AC Test Goals 145 3.19.2 CostDrivers 145

XII Contents 3.20 At-Speed Scan Testing 148 3.20.1 Usesof At-Speed Scan Testing 148 3.20.2 At-Speed Scan Sequence 148 3.20.3 At-Speed Scan versus DC Scan 148 3.21 The At-Speed Scan Architecture 150 3.21.1 At-Speed Scan Interface 150 3.21.2 At-Speed "Safe Shifting" Logic 150 3.21.3 At-Speed Scan Sample Architecture 150 3.22 The At-Speed Scan Interface 152 3.22.1 At-Speed Scan Shift Interface 152 3.22.2 At-Speed Scan Sample Interface 152 3.23 Multiple Clock and Scan Domain Operation 154 3.23.1 Multiple Timing Domains 154 3.24 Scan Insertion and Clock Skew 157 3.24.1 Multiple Clock Domains, Clock Skew, and Scan Insertion 157 3.24.2 Multiple Time Domain Scan Insertion 158 3.25 Scan Insertion for At-Speed Scan 161 3.25.1 Scan Cell Substitution 161 3.25.2 Scan Control Signal Insertion 161 3.25.3 Scan Interface Insertion 161 3.25.4 Other Considerations 161 3.26 Critical Paths for At-Speed Scan 163 3.26.1 Critical Paths 163 3.26.2 Critical Path Selection 163 3.26.3 Path Filtering 164 3.26.4 False Path Content 165 3.26.5 Real Critical Paths 166 3.26.6 Critical Path Scan-Based Diagnostics 166 3.27 Scan-Based Logic BIST 168 3.27.1 Pseudo-Random Pattern Generation 168 3.27.2 Signature Analysis 168 3.27.3 Logic Built-In Self-Test 168 3.27.4 LFSR Science (A Quick Tutorial) 169 3.27.5 X-Management 170 3.27.6 Aliasing 170 3.28 Scan Test Fundamentals Summary 173 3.29 Recommended Reading 174

Contents 4 Memory Test Architectures and Techniques 4.1 Introduction to Memory Testing 4.1.1 Purpose 4.1.2 Introduction to Memory Test 4.2 Types of Memories 4.2.1 Categorizing Memory Types 4.3 Memory Organization 4.3.1 Types of Memory Organization 4.4 Memory Design Concerns 4.4.1 Trade-Offs in Memory Design 4.5 Memory Integration Concerns 4.5.1 Key Issues in Memory Integration 4.6 Embedded Memory Testing Methods 4.6.1 Memory Test Methods and Options 4.7 The Basic Memory Testing Model 4.7.1 Memory Testing 4.7.2 Memory Test Fault Model 4.7.3 Memory Test Failure Modes 4.8 The Stuck-At Bit-Cell Based Fault Models 4.8.1 Stuck-At Based Memory Bit-Cell Fault Models 4.8.2 Stuck-At Fault Exercising and Detection 4.9 The Bridging Defect-Based Fault Models 4.9.1 Bridging Defect-Based Memory Test Fault Models 4.9.2 Linking Defect Memory Test Fault Models 4.9.3 Bridging Fault Exercising and Detection 4.10 The Decode Fault Model 4.10.1 Memory Decode Fault Models 4.10.2 Decode Fault Exercising and Detection 4.11 The Data Retention Fault 4.11.1 Memory Test Data Retention Fault Models 4.11.2 DRAM Refresh Requirements 4.12 Diagnostic B it Mapping 4.12.1 Memory Test Diagnostics: Bit Mapping 4.13 Algorithmic Test Generation 4.13.1 Introduction to Algorithmic Test Generation 4.13.2 Automatic Test Generation 4.13.3 BIST-Based Algorithmic Testing

xiv Contents 4.14 Memory Interaction with Scan Testing 208 4.14.1 Scan Test Considerations 208 4.14.2 Memory Interaction Methods 208 4.14.3 Input Observation 208 4.14.4 Output Control 208 4.15 Scan Test Memory Modeling 210 4.15.1 Modeling the Memory for ATPG Purposes 210 4.15.2 Limitations 210 4.16 Scan Test Memory Black-Boxing 212 4.16.1 The Memory Black-Boxing Technique 212 4.16.2 Limitations and Concerns 212 4.17 Scan Test Memory Transparency 214 4.17.1 The Memory Transparency Technique 214 4.17.2 Limitations and Concerns 214 4.18 Scan Test Memory Model of The Fake Word 216 4.18.1 The Fake Word Technique 216 4.18.2 Limitations and Concerns 216 4.19 Memory Test Requirements for MBIST 218 4.19.1 Memory Test Organization 218 4.20 Memory Built-In Self-Test Requirements 220 4.20.1 Overview of Memory BIST Requirements 220 4.20.2 At-Speed Operation 220 4.21 An Example Memory BIST 222 4.21.1 A Memory Built-In Self-Test 222 4.21.2 Optional Operations 223 4.21.3 An Example Memory Built-In Self-Test 223 4.22 MBIST Chip Integration Issues 225 4.22.1 Integrating Memory BIST 225 4.23 MBIST Integration Concerns 227 4.23.1 MBIST Default Operation 227 4.24 MBIST Power Concerns 229 4.24.1 Banked Operation 229 4.25 MBIST Design Using LFSRs 231 4.25.1 Pseudo-Random Pattern Generation for Memory Testing 231 4.25.2 Signature Analysis and Memory Testing 231 4.25.3 Signature Analysis and Diagnostics 231

Contents xv 4.26 Shift-Based Memory BIST 234 4.26.1 Shift-Based Memory Testing 234 4.26.2 Output Assessment 234 4.27 ROM BIST 236 4.27.1 Purpose and Function of ROM BIST 236 4.27.2 The ROM BIST Algorithm 237 4.27.3 ROM MISR Selection 237 4.27.4 Signatare Compare Method 238 4.28 Memory Test Summary 240 4.29 Recommended Reading 240 5 Embedded Core Test Fundamentals 241 5.1 Introduction to Embedded Core Testing 243 5.1.1 Purpose 243 5.1.2 Introduction to Embedded Core-Based Chip Testing 243 5.1.3 Reuse Cores 244 5.1.4 Chip Assembly Using Reuse Cores 244 5.2 What Is a Core? 246 5.2.1 Defining Cores 246 5.2.2 The Core DFT and Test Problem 246 5.2.3 Built-In DFT 246 5.3 What is Core-Based Design? 248 5.3.1 Design of a Core-Based Chip 248 5.3.2 Core-Based Design Fundamentals 248 5.4 Reuse Core Deliverables 250 5.4.1 Embedded Core Deliverables 250 5.5 Core DFT Issues 252 5.5.1 Embedded Core-Based Design Test Issues 252 5.6 Development of a ReUsable Core 256 5.6.1 Embedded Core Considerations for DFT 256 5.7 DFT Interface Considerations Test Signals 262 5.7.1 Embedded Core Interface Considerations for DFT Test Signals 262 5.8 Core DFT Interface Concerns Test Access 265 5.8.1 Test Access to the Core Interface 265

xvi Contents 5.9 DFT Interface Concerns Test Wrappers 268 5.9.1 The Test Wrapper as a Signal reduction Element 268 5.9.2 The Test Wrapper as a Frequency Interface 268 5.9.3 The Test Wrapper as a Virtual Test Socket 269 5.10 The Registered Isolation Test Wrapper 271 5.11 The Slice Isolation Test Wrapper 273 5.12 The Isolation Test Wrapper Slice Cell 275 5.13 The Isolation Test Wrapper Core DFT Interface 277 5.14 Core Test Mode Default Values 279 5.14.1 Internal versus External Test Quiescence Defaults Application 279 5.15 DFT Interface Wrapper Concerns 281 5.15.1 Lack of Bidirectional Signals 281 5.15.2 Test Clock Source Considerations 281 5.16 DFT Interface Concerns Test Frequency 284 5.16.1 Embedded Core Interface Concerns for DFT Test Frequency 284 5.16.2 Solving the Frequency Problem 284 5.17 Core DFT Development 286 5.17.1 Internal Parallel Scan 286 5.17.2 Wrapper Parallel Scan 286 5.17.3 Embedded Memory BIST 287 5.17.4 Other DFT Features 287 5.18 Core Test Economics 289 5.18.1 Core DFT, Vectors, and Test Economics 289 5.18.2 Core Selection with Consideration to DFT Economics 289 5.19 Chip Design with a Core 292 5.19.1 Elements of a Core-Based Chip 292 5.19.2 Embedded Core Integration Concerns 292 5.19.3 Chip-Level DFT 293 5.20 Scan Testing the Isolated Core 296 5.21 Scan Testing the Non-Core Logic 298 5.21.1 Scan Testing the Non-Core Logic in Isolation 298 5.21.2 Chip-Level Testing and Tester Edge Sets 298 5.22 User Defined Logic Chip-Level DFT Concerns 300 5.23 Memory Testing with BIST 302

Contents xvii 5.24 Chip-Level DFT Integration Requirements 304 5.24.1 Embedded Core-Based DFT Integration Architecture 304 5.24.2 Physical Concerns 305 5.25 Embedded Test Programs 307 5.26 Selecting or Receiving a Core 309 5.27 Embedded Core DFT Summary 311 5.28 Recommended Reading 311 AbouttheCD 313 Glossary of Terms 317 Index 341 About the Aiithor 349