Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing

Similar documents
IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Changing the Scan Enable during Shift

Design of Fault Coverage Test Pattern Generator Using LFSR

Power Problems in VLSI Circuit Testing

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Weighted Random and Transition Density Patterns For Scan-BIST

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Implementation of Scan Insertion and Compression for 28nm design Technology

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

VLSI System Testing. BIST Motivation

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Overview: Logic BIST

Scan. This is a sample of the first 15 pages of the Scan chapter.

ISSN (c) MIT Publications

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Clock Gate Test Points

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Deterministic BIST Based on a Reconfigurable Interconnection Network

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Controlling Peak Power During Scan Testing

At-speed Testing of SOC ICs

SIC Vector Generation Using Test per Clock and Test per Scan

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

This Chapter describes the concepts of scan based testing, issues in testing, need

VLSI Test Technology and Reliability (ET4076)

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Survey of Test Vector Compression Techniques

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

ADVANCES in semiconductor technology are contributing

UNIT IV CMOS TESTING. EC2354_Unit IV 1

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design of BIST Enabled UART with MISR

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

Using Existing Reconfigurable Logic in 3D Die Stacks for Test

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

ECE 715 System on Chip Design and Test. Lecture 22

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Testing of Cryptographic Hardware

Test Compression for Circuits with Multiple Scan Chains

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression

A New Low Energy BIST Using A Statistical Code

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Diagnosis of Resistive open Fault using Scan Based Techniques

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

I. INTRODUCTION. S Ramkumar. D Punitha

A Low Power Delay Buffer Using Gated Driver Tree

LFSR Counter Implementation in CMOS VLSI

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Running scan test on three pins: yes we can!

Design of BIST with Low Power Test Pattern Generator

HIGHER circuit densities and ever-increasing design

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

Synchronization Overhead in SOC Compressed Test

An Efficient Reduction of Area in Multistandard Transform Core

E-Learning Tools for Teaching Self-Test of Digital Electronics

Design for test methods to reduce test set size

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Dynamic Scan Clock Control in BIST Circuits

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Unit V Design for Testability

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

High-Frequency, At-Speed Scan Testing

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Memory efficient Distributed architecture LUT Design using Unified Architecture

An Experiment to Compare AC Scan and At-Speed Functional Testing

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

Reducing Power Supply Noise in Linear-Decompressor-Based Test Data Compression Environment for At-Speed Scan Testing

Transcription:

25 IEEE 2rd North Atlantic Workshop Multivalued Logic for Reduced Pin Count and Multi-Site SoC ing Baohu Li and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Auburn, AL 369, USA bzl05@auburn.edu, agrawvd@auburn.edu Abstract With the reduced-pin-count test (RPCT) being adopted for multi-core systems-on-chip (SoCs) that usually support test compression as well, test speed is reduced due to the narrower input bandwidth. In this work, we propose an idea to combine multi-valued logic (MVL) test application with RPCT technology, which increases the data rate of test channels to avoid compromising test speed for the interface. The hardware modifications for the tester and device under test (DUT) are proposed with the corresponding test flow. Simulation result shows that the test speed is increased by four times with -bit MVL test channel. An actual experiment verifies that only 61,757 cycles are used to complete a RPCT with MVL test application, compared to 27,020 cycles for an RPCT only scenario. Keywords: multi-site test, test compression, reduced pincount test (RPCT), multi-value logic (MVL), system-onchip (SoC) test. I. INTRODUCTION The utilization of multi-site testing exploits parallelism in the testing industry, which has successfully served the goal of test cost reduction for years. It s obvious that we can save test cost by testing more devices under test (DUTs) in parallel when no more extra testing resource is required. If the has test channels whose number is times of the number of pins to be connected with DUT, then multi-site testing is applicable. Obviously, we can reduce the testing pins in DUT to increase the throughput of multi-site testing. For a preliminary scan-based DUT, reduced number of scan chains will remove some scan in and scan out pins to cut down the testing resource needed per DUT. But in reality, we adopt reduced pin count test (RPCT) technology which commonly sends serialized data through reduced number of test channels and deserialize them in DUT. By this way, the number of test channels for each DUT is reduced, with modification only on test access mechanism (TAM). In the latter of this paper, the term RPCT is referred to such serialization/deserialization based technology. Another benefit comes with fewer test pins is less probe contact during wafer sort, which reduces the wafer test cost and avoids yield loss causing by probing. Research on bandwidth matching also plays an important role in multi-site test, which helps determine the optimized number of test channels for each DUT. Several papers [7], [], [11], [19], [20], [21] discuss benefits of multi-site testing. They also discuss how to optimize the use of resource by bandwidth matching and RPCT. Decompressor Fig. 1: Simple diagram of RPCT with decompressor interface. On the other hand, with extensively growing test size and automatic test equipment () cost [], built-in self-test (BIST) has been proposed. However, the limited effectiveness of BIST patterns for random-pattern resistant faults often make it unacceptable. In most situations, therefore, IC testing still relies on patterns supplied by an, and test data compression technology provides a popular solution [1]. The currently available commercial test compression tools can reduce test size by 0x. Thus, almost every large design has test data compression components integrated in its design for testability (DFT) structure. Two major test compression categories are linear-decompressionbased scheme and broadcast-scan-based scheme [22], where compression effectiveness is related to the ratio between the number of inner scan chains and decompressor input channels. However, the compression algorithms [9] do not allow too few decompressor input channels in which case the fault coverage may degrade because of the correlation problem. To reduce the number of test pins, RPCT has been proposed [9]. A practical RPCT interface is shown in Figure 1. Such serialization/deserialization based RPCT requires the speed of test channel to be multiple times of the DUT scan speed. This ratio is determined by how many bits need to be deserialized. As often stated [9], [15], [21], this technique is claimed to solve the bandwidth mismatch between the fast channel and slow DUT scan speed. However, this will become a speed bottleneck for RPCT in circumstances when the channel speed cannot keep pace with the DUT scan speed times number of serialized bits. The only solution is to lower the scan speed of the DUT which compromises testing Compactor 97-1-673-717-0/15 $31.00 25 IEEE DOI.19/NATW.25.15 9

Binary @200MHz Decompressor Binary @200MHz Decompressor 1 Decompressor 2 -bit MVL @200MHz MVL Decoder Decompressor 1 Decompressor 2 @12.5MHz @6.25MHz @25MHz (a) 0MHz binary channel for 12.5MHz scan speed with single core design (b) 0MHz binary channels for 6.25MHz scan speed with duel-core design (c) 0MHz -bit MVL channel for 25MHz scan speed with duel-core design Fig. 2: speed improvement by MVL signal transmission. speed. The primary cause of this is the limit test channel data rate clamping the DUT scan speed. We recently proposed [12], [13], [1] the idea of using multi-valued logic (MVL) as test data format which greatly increases the data rate of test channels. In this work, we integrate such an MVL scheme in test compression compatible RPCT technology to enable support for higher scan speed in RPCT or to further reduce the number of test pins. In Section II, an MVL interface is integrated with test compression and RPCT technologies. In Section III, we propose a test flow with feasibility analysis and error protection. In Section IV, detailed hardware modifications on channel and DUT are given. In Section V, we show the benefit of our scheme on test time and resource reduction. Section VI provides a conclusion and discusses future work. II. MVL CHANNELS IN RPCT Because of the benefits of multi-site testing and RPCT, many test compression tools now support pin-limited mode. Some examples are low pin count test with Kompress [2], [5], [17], Version G2 of adaptive scan in DFTMAX from Synopsys [] and SmartScan in Encounter DFT from Cadence [1]. A detailed analysis shows [9] how RPCT improves fault coverage of test compression so that test time and test size are greatly reduced through elimination of extra top off patterns. To enhance the data transfer capability in RPCT, we change the data format of test channels to MVL. Based on recent work [12], [13], [1], we combine MVL test channels with RPCT interface shown in Figure 2 (c). Here we assume that the channel is capable of generating 200MHz signal. When neither RPCT nor test compression is used, a 200MHz test channel can support 200MHz scan speed for a single scan chain design. Figure 2 assumes that an -bit decompressor interface is a requirement for test compression. With the 200MHz binary channel, the scan speed in configuration (a) is only 12.5MHz. Configuration (b) still uses a 200MHz binary channel, when multi-core design is involved, in which case the scan speed is only 6.25MHz. This indicates that the problem of test speed reduction gets worse for multi-core SoCs. Because multiple decompressor interfaces need multiple cycles to shift in a vector, the scan speed is further reduced. Configuration (c) uses an MVL channel with RPCT. Compared to configurations (a) and (b), the scan speed is higher for a data rate boost of physical test channel. From this illustration, we can see the benefit of the MVL test application for multi-core designs. III. TEST FLOW WITH MVL CHANNELS In the past [12], [13], [1], we have discussed possible reliability issues and solutions associated with an MVL test channel. We identified two error sources: data converter nonlinearities and noise. To deal with nonlinearities, a calibration procedure is conducted before applying test data. To solve the noise and errors of the decoded test data a retest scheme is adopted. Here, we will introduce a complete test flow including nonlinearity calibration, error detection and retest. A. Nonlinearity Calibration Procedure It is reasonable to assume [1] that DACs integrated in have good performance and can be controlled by users. But the ADC in DUT is as fabricated and may not be perfect. So we calibrate the ADC nonlinearities by adjusting the DAC output voltages. To have more accurate control on DAC output, we use DAC with higher resolution. Different ADCs perform differently, therefore each test channel should independently calibrate its ADC. Because the performance of DAC and ADC may fluctuate in different environmental conditions, calibration should be done prior to test data transfer. We have demonstrated the effectiveness of the calibration scheme [1]. But sometime the performance of ADC (such as non-monotonicity or missing code) a possible fix. Our calibration scheme still detects these situations. The detailed calibration procedure includes DAC input sweep, feedback collection and DAC code redistribution. To illustrate the concept, we use a -bit DAC and a 2-bit ADC as example. DAC input sweep: For each test channel and DUT pair, a set of ramp-up patterns is fed into the DAC to generate MVL stimulus. In this case, 0000, 00, 00..., 11 and 1111 are fed to DAC. These ramp-up patterns will be converted into MVL voltage levels and sent to DUT. 50

DAC Ramp-up ADC Decoded Input Patterns 0000 00 00 00 00 00 01 00 11 00 11 10 11 11 11 11 11 1111 11 Unable to calibrate MVL-bypass mode test Exceed MVL channel calibration Not exceed Max retest time check Calibrated Fail Pass MVL mode test ATS examination xxxx : Selected DAC code as the calibrated code. Fig. 3: DAC code redistribution for ADC calibration. response examination Pass Fail Defected device Feedback collection: The MVL decoder in DUT captures the ramp-up MVL signals and decodes them back into digital patterns. These decoded patterns are sent back to for processing. Here existing DUT-to- channels (e.g., scan out pins) can be used to receive these patterns. Generation of calibrated DAC codes: When receives the decoded pattern information, it needs to pick one code from the section where the captured patterns are the same. It is best to pick the code in the middle of the section to maximize noise margin. Here 0000, 00,, 1111 are chosen as shown in Figure 3. Some similarities are found in other calibration schemes such as the equalization technique of communication system [16], which sends sample data and assigns coefficients to the FIR filter according to the captured error signal. But there are notable differences in our scheme, which only makes adjustments on the sender side and the calibrating target is the DAC codes that uniquely solve the problem under our assumption. B. Overall Flow We recently proposed [1] a test flow to prevent noiseinduced errors by adding an applied test signature (ATS) examination and retest procedure. The maximum number of retests is kept small for practical reasons. When the ATS examination shows repeated failures beyond the maximum retest limit, we assume that the cause is not the noise but MVL decoder has a fault. Abandoning the DUT will potentially cause yield loss. So we add a so-called MVLbypass mode in the test flow for this type of DUTs that may have defective MVL interface. In this mode, these DUT receives binary signals with MVL decoder bypassed as regular RPCT. Note that DAC is capable of generating binary signals by using only all-0 and all-1 input codes. The complete MVL compatible test flow is shown in Figure. At the beginning of test each MVL test channel will conduct calibration of the connected DUT. The calibration process will detect those DUTs which are not able to be calibrated and let them be tested in MVL-bypass mode later. Good device Fig. : Complete MVL compatible test flow. The successfully calibrated DUTs will go to next step and have MVL mode test. No matter what kind of test response analysis method is used, the ATS examination should be done right after the MVL test to assure the credibility of test. When ATS examination passes, the test flow will examine test response signature or validate the comparison result during the test. Any DUT passing test response analysis is a good device. On the other hand, DUTs that fail the ATS examination will be retested in MVL mode test as long as the maximum retest limit is not exceeded. If it exceeds maximum retest limit then it will be tested in the MVLbypass mode. There are two types of DUTs tested in the MVL-bypass mode: those that cannot be calibrated and those failing maximum times of retest. After doing test response analysis for MVL-bypass mode test, all DUTs will be tested as good or defective devices without yield loss. IV. MVL TEST HARDWARE IMPLEMENTATION To Support MVL signal in testing, hardware modifications are required for both channel and DUT. A. MVL-Compatible Channel First of all, a DAC should be integrated with each test channel. In this illustration, we do not discuss what particular type of DAC to choose, but just assume that an -bit DAC is used. We concentrate on the supporting circuitry for calibration. Our design of calibration circuitry is shown in Figure 5, which is for a -bit resolution MVL test channel (extra bits for calibration purpose). The purpose of C/T signal is to switch between calibration mode and test mode. Vec signal is a -bit test data vector to be converted into MVL format. Dout signal is an -bit ramp pattern from an -bit counter (not shown in the figure). Error signal will report any unable-to-calibrate situations 51

Error Dout Start Vec C/T 7 1'b0 out b counter rst en M3 D Q R1 m1 D Q V0 Channels 1 m2 m1. D Q R2 D Q R1. -16 One-hot decoder 'b11111111 M2. 'b00000000 M1 DAC MVL Channel Fig. 5: MVL channel calibration circuitry. like non-monotonicity and missing codes etc. In calibration mode, start signal will reset all memory elements to 0 in the beginning. Then C/T will control the mux M1 to output Dout ramp-up patterns. On the other side, captures the decoded patterns from receiving test channels. The captured pattern will be compared with the current under calibration pattern stored in V0. The comparison result will show whether the captured pattern has been changed. If it s not, V0 remains and the -bit counter upward count by 1. If the captured pattern equals to the stored pattern plus 1, V0 will increase by 1 and the counter will be reset. If it is neither of the above situations, then error signal will become 1 to report an unable-to-calibrate case. Every time before being reset, the value in the -bit counter contains the information of how many cycle intervals are monitored to receive an increased-by-1 pattern. The -16 one-hot decoder will select the corresponding register bank (R1-R1) to store the calibrated code for the current under calibration vector (V0). The calibrated code is calculated by subtracting half of the counter value from Dout. The dividing is realized by 1-bit right shifting the -bit counter. After all ramp-up patterns are processed, the values stored in R1-R1 become calibrated codes. For vector 0000 and 1111, we directly assign 00000000 and 11111111 to remove register banks. In test mode, the output of mux M1 comes from mux M2.The selecting signal of M2 is the test data vector we want to send and the input channels of M2 are from the register banks. In such a way, we can send calibrated codes to DAC to produce calibrated MVL signal on test channel. An simulation result of post-synthesized calibration circuitry is given to show the process of calibration in Figure 6. In the simulation result, Vref is the received patterns from DUT decoder. When Vref reaches 1111, all the calibrated results are generated and stored in R1-R1. In this case, the calibrated results are: 00000000 for 0000, 00 for 00, 0011 for 00 etc., which are shown in the second column of the figure in unsigned format. The synthesized calibration circuitry has total area as 2 unit gates or 723 with register banks replaced by ram cells. Only two multiplexors add to the path between original test data and DAC. Fig. 6: Calibration process for -bit MVL signal with -bit DAC. Cal buf A D C MISR M1 M2 BL Bypass m1 R1 R2 m2 R3 R m3 R5 R6 m R7 R Decompressor Scan chains DUT Compactor Fig. 7: Modifications on MVL-compatible DUT. B. MVL-Compatible Modifications on DUT In this section, the DUT is modified to support -bit resolution MVL signal. The detailed hardware modifications are shown in Figure 7. The modified DUT has following functions: 1. decode MVL signal; 2. support MVL-bypass mode to receive binary test data; 3. generate ATS to validate decoded test stimulus. The MVL signal decoding is done by a -bit ADC, whose outputs have three fan-outs: inputs of muxes m1-m to apply test data for decompressor, inputs of a MISR for ATS generation and inputs of mux M2 to send back decoded patterns for ADC calibration. Muxes M1 and M2 are used to reduce the number of pins connected to by sharing pins during different modes. In the calibration mode, the decoded patterns are directly sent back through M1 and M2 by configuring and Cal, and BL will block them sending to decompressor. In the MVL test mode, the output of M1 comes from test response compactor by controlling. The output of ADC gets to R1, R3, R5 and R7 through blocking gates 52

and muxes m1-m by configuring BL and Bypass. As a result, the decompressor receives an input vector every two cycles from ADC. In MVL-bypass mode, the output of M1 comes from test response compactor just like in previous mode. But m1-m block any data coming from ADC and form an -bit shift register chain to be the decompressor inputs. It takes eight cycles for the decompressor receiving an input vector. In ATS examination mode, the ATS from MISR are sent back through M1 and M2 by configuring and Cal. This will be done at the end of test. V. EXPERIMENTAL RESULTS A. -Based MVL of s35 An -based test was conducted to validate the feasibility of MVL test application and its benefit in test speed. The was Advantest T2000GS. The setup on the test head is shown in Figure. The DUT is benchmark circuit s35 with 12 primary inputs, 27 primary outputs, 1,52 flip-flops and 11, gates. The function of this circuit was implemented with 2 scan chains, supplied by deserializers and a decompresser, on an Altera DE2 FPGA board [3], which is the DUT in Figure [12]. s were generated with Mentor Graphics tools [5], [6]. To generate MVL signals we used 16 programmable power supply pins providing 16 voltage levels for -bit MVL signal. These 16 voltage levels are given to input channels of a 16-to-1 analog multiplexer whose select signal is -bit test data from the. We thus generate a -bit programmable MVL signal representing a -bit binary pattern. On the DUT side, we have a -bit ADC as MVL decoder, which receives the output of the multiplexer. The DUT (s35 on DE2 FPGA board) is connected to the ADC. The feasibility of combining MVL channels with RPCT methodology is validated by obtaining the identical test result with regular test method using no RPCT or MVL. The compressed test size is 59.2Kb for this DUT. In RPCT only scheme, we used pins to apply test data, 3 control signal pins (clk, rst and edt clock) and 1 pin to send serialized test data, which takes 20 cycles to apply 1 vector. So, it needs 27,020 cycles to apply the whole test. When both RPCT and MVL are used, we still used pins to apply test data: 3 control signal pins (clk, rst and edt clock) and 1 MVL pin. This time, it takes 5 cycles to apply a vector, and two extra cycles are needed for the latency of the pipelined ADC. Therefore, a total of 61,757 cycles are needed to complete this test. It shows that the use of MVL channel alleviates the test speed reduction for deserialization (20 cycles/vec down to 5 cycles/vec), but saves test pins by RPCT (both cases use pins). B. MVL Benefits: b19 Circuit Simulation In compression-based testing, RPCT using serialization/deserialization can significantly reduce test time and test size [9]. If we send test data with MVL-compatible test channel, boosting data rate by several times, then the benefit of RPCT can be further enhanced. To demonstrate this we Fig. : setup for MVL test application to s35 implemented on FPGA board (lower left). needed a circuit larger than s35. Hence, the benchmark circuit b19 was used here. It has 21 primary inputs, 30 primary outputs, 6,62 flip-flops and 231,320 gates. It was implemented with 500 scan chains [12]. Here again tests were generated with Mentor Graphics tools [5], [6]. Table I shows simulation results for five configurations of scan test, namely, without compression, with compression, with RPCT and compression, with MVL and compression, and with RPCT, MVL and compression. The circuit b19 has nearly 59 thousand faults. We assume that only one physical channel supplies test data with a fixed clock frequency. The MVL channel is -bit in resolution. The test compression devised by Mentor Graphics Kompress tool [5]. The test interface for the scan without compression is scan in. In other cases, tests are supplied to input pins of decompresser. As has been reported [9], our result also shows that the test volume is reduced as the number of decompresser inputs increase because of dramatically reduced size of the bypass mode top up patterns required for fault coverage (FC) recovery [12]. The MVL and compression scheme supports -bit decompressor inputs without any serialization and deserialization, which helps break the input correlation barrier of compression algorithms. When MVL and RPCT are combined, MVL helps RPCT with wider bandwidth requiring fewer cycles. For example, an RPCT scheme interfaces with -input decompresser in cycles, but with MVL it will interface with 16-input decompresser still in cycles. The result in Table I shows that the test time reduction with MVL is times greater than that for RPCT alone. 53

TABLE I: volume and test time reduction for b19 circuit tested in different scenarios. Scan Configuration Channel Type Interface Bandwidth Orig. Volume Bypass Volume Original Coverage (FC) FC with Bypass Volume Reduction Time Reduction Just scan binary 1.M - 99.6% - - - Scan with compression [5] binary 1 333.K 1.5M 93.5% 99.6% 2.33x 2.33x binary 666.K 91.5K 95.6% 99.6% 2.67x 2.67x Scan with compression [5] binary 7.K 661.K 95.7% 99.6% 3.21x 3.21x and RPCT [9] binary 12 750.6K 79.2K 95.7% 99.6% 3.5x 3.5x binary 16 707.6K 71.7K 95.7% 99.6% 3.73x 3.73x Scan, compression [5] and MVL MVL 666.K 91.5K 95.6% 99.6% 2.67x.6x Scan, compression [5], RPCT [9] and MVL VI. CONCLUSION AND FUTURE WORK This work proposes the idea of using MVL signals to facilitate RPCT in compression-based testing. With limited test pins, MVL channels reduce test time by increasing the data rate per test channel. This solves or alleviates the problem of reduced test speed in RPCT schemes often necessary for multi-site test. We give detailed hardware modifications on and DUT to realize the proposed scheme. The feasibility of MVL in compression-based test is demonstrated by testing a device on. Simulation result shows the test time advantage of using MVL. Since MVL support does not exist in the currently available s, some external module can be developed for MVL transmission and calibration. A real chip with MVL decoder and other built in hardware modifications should be fabricated and tested. Acknowledgment: This research was supported in part by the National Science Foundation Grant CCF-1116213. REFERENCES [1] Addressing Cost Challenges in LPCT Designs, Cadence, http://www.cadence.com/rl/resources/white papers /SmartScan wp.pdf. [2] Combining Low Pin Count with Scan Compression Dramatically Reduces Interface adn Cost, Mentor Graphics. http://www.mentor.com/products/silicon-yield/techpubs/ lpct?id=5922,590. [3] DE2 Development and Education Board, Altera, http://www.altera.com/education/univ/materials/boards/de2/unvde2-board.html. [] DFTMAX Compression Backgrounder, Synopsys, http://www.synopsys.com/tools/implementation/rtlsynthesis /CapsuleModule/dftmax bgr.pdf. [5] Mentor Graphics: Tessent Kompress. http://www. mentor.com/products/silicon-yield/products/ testkompress/, accessed on 0/22/25. [6] Tessent FastScan, Mentor Graphics. http://www.mentor. com/products/silicon-yield/products/fastscan/. [7] S. Bahukudumbi and K. Chakrabarty, -Length and TAM Optimization for Wafer-Level Reduced Pin-Count ing of Core-Based SoCs, IEEE Trans. Computer-Aided Design of Integrated Circ. and Syst., vol. 2, no. 1, pp. 111 120, 2009. [] M. L. Bushnell and V. D. Agrawal, Essentials of Electronic ing for Digital, Memory and Mixed-Signal VLSI Circuits. Springer, 2000. MVL 7.K 661.K 95.7% 99.6% 3.21x 12.x MVL 12 750.6K 79.2K 95.7% 99.6% 3.5x 1.32x MVL 16 707.6K 71.7K 95.7% 99.6% 3.73x 1.92x [9] K. Chakravadhanula, V. Chickermane, D. Pearl, A. Garg, R. Khurana, S. Mukherjee, and P. Nagaraj, SmartScan - Hierarchical Compression for Pin-Limited Low Power Designs, in Proc. International Conf., 23. Paper.2. [] S. K. Goel and E. J. Marinissen, Optimisation of On- Chip Design-for- Infrastructure for Maximal Multi-Site Throughput, IEE Proc. - Computers and Digital Tech., vol. 152, no. 3, pp. 2 56, May 2005. [11] V. Iyengar, S. Goel, E. Marinissen, and K. Chakrabarty, Resource Optimization for Multi-Site ing of SOCs Under Memory Depth Constraints, in Proc. International Conf., 2002, pp. 1159 116. [12] B. Li, Digital ing with Multivalued Logic Signals. PhD thesis, Auburn University, ECE Department, Auburn, Alabama, May 25. [13] B. Li, B. Zhang, and V. D. Agrawal, ing With Reduced Channels, in Proc. 23rd IEEE North Atlantic Workshop, 2. [1] B. Li, B. Zhang, and V. D. Agrawal, Adopting Multi-Valued Logic for Reduced Pin-Count ing, in Proc. 16th IEEE Latin-American Symposium, 25. [15] J. Moreau, T. Droniou, P. Lebourg, and P. Armagnat, Running Scan on Three Pins: Yes We Can!, in Proc. International Conf., 2009. Paper 1.1. [16] S. U. H. Qureshi, Adaptive Equalization, Proceedings of the IEEE, vol. 73, no. 9, pp. 139 137, 195. [17] J. Rajski, J. Tyszer, M. Kassab, N. Mukherjee, R. Thompson, K. Tsai, A. Hertwig, N. Tamarapalli, G. Mrugalski, G. Eide, and J. Qian, Embedded Deterministic for Low Cost Manufacturing, in Proc. International Conf., 2002, pp. 3 3. [1] N. A. Touba, Survey of Vector Compression Techniques, IEEE Design & of Computers, vol. 23, no., pp. 29 303, 2006. [19] E. H. Volkerink, A. Khoche, L. A. Kamas, J. Rivoir, and H. G. Kerkhoff, Tackling Trade-offs from Design, Manufacturing to Market using Economic Modeling, in Proc. International Conf., 20, pp. 9 17. [20] E. H. Volkerink, A. Khoche, J. Rivoir, and K. D. Hilliges, Enhanced Reduced Pin-Count for Full-Scan Design, in Proc. 29th IEEE VLSI Symp., 2002, pp. 11 16. [21] H. Vranken, T. Waayers, H. Fleury, and D. Lelouvier, Enhanced Reduced Pin-Count for Full-Scan Design, in Proc. International Conf., 20, pp. 73 77. [22] L.-T. Wang, C.-W. Wu, and X. Wen, VLSI Principles and Architectures: Design for ability. Academic Press, 2006. 5