An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

Similar documents
Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Scan. This is a sample of the first 15 pages of the Scan chapter.

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Multiple Scan Methodology for Detection and Tuning Small Delay paths

Asynchronous (Ripple) Counters

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Clock Gate Test Points

High-Frequency, At-Speed Scan Testing

At-speed testing made easy

At-Speed Transition Fault Testing With Low Speed Scan Enable

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Overview: Logic BIST

Lecture 23 Design for Testability (DFT): Full-Scan

At-speed Testing of SOC ICs

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Design for Testability

Counter dan Register

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN


Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

K.T. Tim Cheng 07_dft, v Testability

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Chapter 8 Design for Testability

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Design of Fault Coverage Test Pattern Generator Using LFSR

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram


EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Figure.1 Clock signal II. SYSTEM ANALYSIS

Module -5 Sequential Logic Design

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

VLSI System Testing. BIST Motivation

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Research on Precise Synchronization System for Triple Modular Redundancy (TMR) Computer

Weighted Random and Transition Density Patterns For Scan-BIST

Chapter 5 Flip-Flops and Related Devices

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

VirtualScan TM An Application Story

The Design-for-Testability Features of A General Purpose Microprocessor

Using on-chip Test Pattern Compression for Full Scan SoC Designs

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Decade Counters Mod-5 counter: Decade Counter:

Simulation Mismatches Can Foul Up Test-Pattern Verification

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

This Chapter describes the concepts of scan based testing, issues in testing, need

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Power Problems in VLSI Circuit Testing

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

Lecture 8: Sequential Logic

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A Low Power Delay Buffer Using Gated Driver Tree

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

Enhanced JTAG to test interconnects in a SoC

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

Digital Phase Adjustment Scheme 0 6/3/98, Chaney. A Digital Phase Adjustment Circuit for ATM and ATM- like Data Formats. by Thomas J.

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

LFSR Counter Implementation in CMOS VLSI

Experiment 8 Introduction to Latches and Flip-Flops and registers

Design for Testability Part II

Enhanced Launch-Off-Capture Transition Fault Testing

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Synchronous Sequential Logic

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled

Chapter 4. Logic Design

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

Synchronization in Asynchronously Communicating Digital Systems

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Transcription:

16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture, Institute of Computing Technology, Chinese Academy of Sciences 2 Graduate School of Chinese Academy of Sciences, Beijing, 100049 3 SynTest Technologies, Inc., 505 S. Pastoria Ave., Suite 101, CA 94086, USA {fanxiaoxin, huyu}@ict.ac.cn, wang@syntest.com Abstract To test timing-related faults between synchronous clocks, an at-speed test clock and an automatic test pattern generation scheme are needed. However, previous work on designing on-chip at-speed test clock controllers for multi-clock has quadratic increasing area overhead along with linearly increasing clocks. This paper presents a clock-chain based test clock control scheme using an internal phase-locked-loop (PLL) as the at-speed test clock generator, which supports at-speed testing for inter-clock domain and intra-clock domain logic. Experimental results demonstrate that the proposed design has low area overhead when increasing the number of clocks. 1. Introduction Nowadays, very deep submicron processes are widely utilized to design and fabricate integrated circuits, which results in an increasing number of timing-related defects. Conventional test techniques, such as stuck-at fault testing supplemented with I DDQ (Direct Drain Quiescent Current) testing, are inefficient to screen out the timing-related defects in small geometry size (e.g. 90nm) [1]. Therefore, at-speed testing of transition faults and path-delay faults is emerging as the necessary techniques for testing high performance circuits. There are two ways to provide the at-speed test clock signal: from an external ATE (Automatic Test Equipment) or from an internal PLL. If using an external ATE to generate the high frequency test clock, then either the cost of ATE or the cost of circuit package is prohibitive, especially for circuits running at GHz. An alternative way is implementing a clock control design in the circuit so that the at-speed testing can be conducted by a low-speed ATE. The basic idea of the clock control is to use on-chip clock source, such as PLL or DLL, to provide at-speed test pulses, while the ATE provides shift pulses and test control signals at slow speed. On-chip test clock generation is economic thereafter is utilized in many industry designs [2-3]. Moreover, to improve the flexibility, designs with multiple clocks become more and more popular. Most system-on-chip (SoC) designs have multiple function components and various peripheral interfaces. The components and the interfaces following different standards may operate at different frequency. For example, the Intel IXP425 network processor, which is widely used in communication systems, has a processor running at 533 MHz, three network processor engines running at 133 MHz, and variety of interfaces running at various frequencies [4]. This multi-clock trend makes a challenge for at-speed testing. Previous works mainly focus on the single clock domain, which is inefficient to test the timingrelated faults between clocks. Ignoring these faults between clocks will reduce the test quality, which is critical to reliability, and become unacceptable. Therefore, it is very urgent to design a clock control scheme to support at-speed testing for detecting the faults in either the inter-clock logic or the intra-clock logic. Many methods were proposed to address this issue. [5] and [6] respectively proposed an at-speed testing architecture for multi-clock designs based on logic built-in self-test (BIST). [7] presented a control scheme for inter-clock at-speed testing. This controller may efficiently test the timing-related faults between clocks but need additional logic to support intra-clock atspeed testing, thus the area overhead is increased. Besides, the scheme can generate only one type of test 1081-7735/07 $25.00 2007 IEEE DOI 10.1109/ATS.2007.61 341

clock pairs which means the test clock control scheme is not flexible to support efficient ATPG (Automatic Test Pattern Generation) techniques. We implemented a clock-chain based clock control scheme in an industry design running at 1 GHz, and the results showed it was efficient to test the delay faults in the intra-clock domain [8]. Based on the previous work, we propose a new control scheme for multi-clock at-speed testing to generate various test clock sequences for both inter-clock and intra-clock atspeed testing while keep low area overhead when increasing the number of clocks. The rest of the paper is organized as follows: Section 2 introduces the background. In section 3, the new control scheme for multi-clock at-speed testing is presented in detail. Experimental results are shown in section 4. Finally, section 5 concludes the paper. 2. Background 2.1 At-speed testing methodology The faults detected during at-speed testing are usually path-delay faults and transition faults. The path-delay fault model measures the cumulative effect of delay defects along a specific combinational path in the circuit. The transition fault model is used to detect large slow-to-rise or slow-to-fall defects at every site in the circuit. Since a delay test launches a transition and propagates it across a certain path and captures the response at the end-point of the path, a pair of at-speed launch and capture pulses is needed to apply a delay test. There are two approaches to generate these pulses, one is launch-off-shift (LOS) and the other is launchoff-capture (LOC) [9], as shown in Fig.1. launch capture shfit last shfit (a) (a) Launch-off-shift launch capture shift (b) (b) Launch-off-capture Fig. 1 At-speed testing approaches The waveform of LOS method is shown in Fig.1 (a). During the shift phase, the scan enable signal () keeps at active state, a test vector is shifted into the circuit by toggling scan chains at low frequency. After the test vector is launched by the last shift cycle, goes into inactive state immediately, and an at-speed pulse is applied to capture the response. Thus, is similar to a clock signal, which brings difficulty in physical design. On the other hand, as shown in Fig.1 (b), the LOC approach uses a pair of at-speed pulses in functional mode when is inactive. Comparing with LOS, the timing constraint of in LOC is much slacker. Therefore, LOC is utilized in this work to ensure the test clock control logic is easy to implement. 2.2 Multiple clock at-speed testing For the circuit designed with multi-clocks, there are communication data-paths between logic blocks of two clocks. For example, an AHB (Advanced Highperformance Bus)-PCI (Peripheral Component Interconnect) bridge circuitry has two clock domains: one is the AHB clock domain and the other is the PCI clock domain. To reliably transfer data from one clock domain to the other clock domain, the two clocks are synchronous; otherwise, handshake signals are needed. The definitions of synchronous and asynchronous are as follows [10]: Synchronous: A clock and its inverted clock or its derived divided-by-two clocks are synchronous. Asynchronous: Clocks with no constant phase and time relationships are asynchronous. Because timing in asynchronous circuits is not strict, multi-clock at-speed testing usually concentrates on the interaction region between synchronous clocks. Therefore, considering the location of the faults, the timing-related faults can be classified as inter-clock faults and intra-clock faults. We use the inter-clock logic block and intro-clock logic block concepts defined by [7]: Intra-clock block: The combination logic block exists between flip-flops driven by the same internal clock. Inter-clock block: The combination logic block exists between flip-flops driven by two synchronous internal clocks. 3. The Proposed Test Clock Control Scheme 3.1 Basic Concept The basic idea of at-speed testing is to launch a transition at the start-point of a path and capture the response at the end-point. As mentioned above, we 342

adopt the LOC approach to avoid a timing-critical scan enable signal. Fig. 2 shows the basic concept of atspeed testing for inter-clock domain and intra-clock domain. FF0 Intra-clock d 0 shift launch capture (a) Intra-clock at-speed testing FF1 data-paths. Follows are the explanation of the waveform types: (a). Test clock is off, for testing other clock domains. (b). Test intra-clock logic block. (c). Test intra-clock logic block. (d). Test inter-clock logic block, from to. (e). Test inter-clock logic block, from to. Table 1: Example of multi-clock at-speed testing (a) FF0 Inter-clock FF1 (b) Inter-clock d 0 (c) (d) shift launch capture d 1 shift launch capture (b) Inter-clock at-speed testing Fig. 2 Multi-clock at-speed testing methodology In Fig. 2 (a), the flip-flops FF0 and FF1 are driven by the same clock. The test clock waveform underneath illustrates how at-speed testing is conducted to detect the timing-related faults in intraclock domain between two flip-flops. The interval (d 0 ) between the launch pulse and capture pulse equals to the clock period in functional mode. Comparing with the intra-clock at-speed testing, the inter-clock at-speed testing clock waveform is more complex, as shown in Fig. 2 (b). The launch and capture interval depends on the direction of the data-path during communication. For instance, if the data is transferred from FF0 to FF1, we need to launch a transition at FF0 and capture the response at FF1. Similarly, if the data-flow is FF1- >FF0, we may need a launch pulse and a capture pulse. Interval d 0 reflects the required time for transferring data from FF0 to FF1, while d 1 reflects the required time for transferring data from FF1 to FF0. Both d 0 and d 1 are often defined by the circuit designer according to the design specification. For a multi-clock design, at-speed testing may need various types of launch-capture pairs. Table 1 gives an example of multi-clock at-speed testing waveforms in the case of two synchronous clocks with bidirectional (e) 3.2 Detailed Scheme Fig. 3 shows the general architecture of the proposed test clock control scheme. ATE PLL SI TM CK1 Clock Chain N-stage Delay Clock Generator SO Core Logic Fig. 3 Architecture of the test clock control scheme The proposed test clock control logic is within the dashed line. It cooperates with the external ATE and the internal clock source (e.g. PLL) to provide at-speed testing clock cycles for the circuit-under-test. The ATE controls the test related signals, such as scan in (SI), scan enable (), shift clock () and test mode (TM). When is asserted, the circuit-under-test is operating in shift mode, and the clock generator unit selects the to let test patterns be shifted with a low speed. In shift mode, both and are driven by. Whenever is deasserted, the circuit-undertest is operating in capture mode. In capture mode, the clock generator unit produces at-speed test clock which is derived from the PLL. The type of the test clock 343

depends on the content of clock chain unit. In the following, details of every part in the test control logic will be described. Clock Chain Unit: The clock chain unit in Fig. 3 consists of an n-bit shift registers (SFF n ) driven by the scan clock. Fig. 4 shows the detailed implementation. The clock chain unit can be part of other regular scan chains to save scan ports. The length of the clock chain is depending on the number of the synchronous clocks and types of the test clock. For example, if there are two synchronous clocks with bidirectional data-paths in the design, the at-speed testing has five types of test clock waveforms, e. g. the waveforms shown in Table. 1. In that case, the clock chain may need three shift registers to provide five types of test clock waveforms. The content stored in the clock chain indicates the type of test clock waveform. By filling the shift registers of clock chain, ATPG tools can decide which type of launch-capture pairs need to be generated. SI SFF 0 SFF 1 SFF 2 SFF n To clock generator Fig. 4 Clock Chain Unit N-stage Delay Register: As shown in Fig. 5, the n- stage delay register consists of n flip-flops which are driven by a clock derived from the PLL. Flip-flops FF 0 ~FF n are used to provide long enough delay time for transition of. The actual delay time is depending on the timing requirement of the circuit specification. In our experiments, since the function frequency is 500 MHz, we use a 10-stage delay line to provide 18 ns delay ( 9, where is the period of ), thus making the no-timing-critical. Notice that the flipflop FF a is toggled by the negative edge of, which is used for preventing metastability. FFa FF0 FF1 FFn SO d_ Fig. 5 N-stage Delay Register Clock Generator: The clock generator is designed to create various types of test clock according to the content of the clock chain unit. Fig. 6 shows the detailed structure of the clock generator unit. TM d_ CK1 Counter from clock chain Enable Generator ck0_en ck1_en CG CG Fig. 6 Structure of clock generator The counter in clock generator is an n-bit gray-code counter with high-speed and non-metastability features. The purpose of the counter is to count the number of fastest clock pulses. The delayed scan enable signal (d_) controls the counter, if d_ = 0, the counter starts to count, if d_ = 1, the counter stops. Also if the counter reaches its maximum value, it will stop counting. Consequently when the ATE finished shifting the test pattern, the counter will start after a given delay. The bits of the counter depend on the ratio between the fastest clock() and the slowest clock(ck1) in the design. For example, if the clock ratio is 4, which means the is 4 times faster than the CK1. Then if ATPG needs 2 successive CK1 pulses, the counter must count to 8 to obtain 2 CK1 cycles, thus the length of the counter is at least 3 bits. The enable signals clk0_en and clk1_en are determined by the content of the clock chain and the current counter value. As shown in Table 1, for example, if the ATPG needs the type of (b) test waveform, then the clock chain will be filled with 001. When the value of the counter reaches 2, the enable generator asserts the signal clk0_en, and when 4, the enable generator cancels the clk0_en signal. As a result, the clock gating cell will let only two at-speed pulses pass. The test flow is described as follows and the corresponding waveform is shown in Fig. 7. 1) Shift test pattern: The ATE shifts test pattern through scan chains, including clock chain. In this phase, the counter is inactive. 2) Delay scan enable: Delay the slow scan enable signal to ensure it is efficiently fall down. 3) Start Counter: Start to count the pulse. 4) Generate clock enable signals: Generate ck0_en and ck1_en signals according to the counter s value and the content of the clock chain. After then the at-speed clocks are created to launch a transition and capture the response. 5) Stop Counter: The counter will stop when the 344

counter reaches its maximum value or the scan enable goes up. 1 2 3 4 5 CK1 d_ counter 8 clock chain ck0_en ck1_en d Fig. 7 Timing diagram of the clock generator For example, consider testing the inter-clock block faults in which the direction of data-path is from to CK1. Then a launch pulse followed by a CK1 capture pulse should be applied to conduct at-speed testing, as shown in Table 1 (d). Assuming the timing requirement for data transferring from to CK1 is the period of. The clock chain is shifted in with 11, thus means choosing the fourth type of testing clock. After the counter counting the first pulse of, the enable generator provides the clock enable signals, thus the launch-capture pairs are created, as the Fig. 7 shows. We will compare our work with the method proposed in [7]. Assuming a design contains N synchronous clocks, and each two clocks have a bidirectional data-path, then the number of test clock types is: 2 number _ clock _ types = N + N( N 1) + 1= N + 1, including N intra-clock domains, N(N-1) inter-clock domains and the clock off state. In [7] s scheme, every inter-clock block needs an inter-clock enable generator, thus the number of flip-flops increases at the O(N 2 ) speed. While in this work, the number of flip-flops is determined by the clock chain length and the number of clock enable registers in the clock generator module, which means the number of the flip-flops will increase at a linear speed. Scheme in [7] #FFs 500 400 300 200 100 0 120 40 20 23 Our work 240 400 27 28 2 3 4 5 #Clock Fig. 8 Theoretical analysis on the number of flip-flops Fig. 8 gives a comparison on flip-flops consumption between the two schemes. The X axis presents the number of clock blocks, while Y axis shows the number of flip-flops used by the test clock controller. We can see that when the number of clock blocks reaches five, the number of flip-flops of the proposed scheme is ten times less than the scheme in [7]. 4. Experimental Results The proposed test control scheme is applied to three experimental circuits to validate the efficiency. These circuits consist of ISCAS 89 benchmark circuits. We integrate two S38417 in circuit 1, three in circuit 2 and four in circuit 3. Each S38417 operates with synchronous clocks in different frequency. And we manually connect some primary outputs of one S38417 to some primary inputs of another S38417 by inserting flip-flops between them to construct bidirectional datapath, and thus to build inter-clock logic blocks. These circuits are synthesized by a commercial synthesis tools in 0.18um process. The statistics of the experimental circuits are shown in Table 2. The first row gives the circuit names. Row Num. Clocks shows the number of clocks in each experimental circuit. In the entry of Inter & Intra- Logic Blocks, the number of total logic blocks in each of these circuits is presented, which includes both the intra-logic blocks and the inter-logic blocks. Table 2: Experimental Circuits Circuit Statistics Circuit #1 Circuit #2 Circuit #3 Num. Clocks 2 3 4 Inter & Intra-Logic Blocks 4 9 16 Fig.9 shows the comparison of the area overhead between the scheme proposed in [7] and our scheme. The area overhead is equivalent to 2-input NAND gates. We can see our design has lower area overhead than the scheme in [7]. Meanwhile, it is clear that Fig 9 is similar to Fig 8, which confirms that the area overhead is mainly determined by the number of flipflops. In fact, the area overhead of the enable generator will also increase along with more flip-flops, but in a slower speed. Moreover, besides the benefit of the lower area overhead, the new test control scheme can provide many types of waveform so that the number of test patterns may be reduced. For example, one pattern may simultaneously detect some faults in domain and ->CK1 domain. In that case, both -> and 345

>CK1 launch-capture pairs are need to apply simultaneous at-speed test. In our future work, we will combine the proposed test clock control scheme with an ATPG tool [12] to verify at-speed test patterns generated under the proposed scheme can be reduced. 1600 1400 1200 1000 800 600 400 200 0 #NAND2 Scheme in [7] 248 228 744 298 #1 #2 #3 Circuits Our work 1486 Fig. 9 Experimental comparison on area overhead 5. Conclusions This paper proposes a new test control scheme to provide multi-clock at-speed testing. This scheme can generate various types of test clock waveforms for atspeed testing inter-clock blocks and intra-clock blocks. Theoretical analysis shows the proposed scheme has lower area overhead than that of previous work. Meanwhile, experimental results also demonstrated the advantage. 6. Acknowledgement This paper was supported in part by National Basic Research Program of China under Grant No. 2005CB321604 and 2005CB321605, and in part by National Natural Science Foundation of China under Grant No. 60633060 and 60606008. Also the authors would like to thank Prof. Huawei Li and Prof. Xiaoqing Wen for many helpful suggestions to the work. And the helps of SynTest colleagues Paul Hsu, Johnson Guo and Xiangfeng Li are gratefully appreciated. Reference [1] X. Lin, R.Press, J. Rajski, P. Reuter,T. Rinderknecht, B. Swanson, and N. Tamarapalli, High-Frequency, At-Speed Scan Testing, Proceedings of IEEE Design and Test of Computers, pp.1-25, 2003. [2] Teresa, L. McLaurin and F. Frederick. The 337 Testability Features Of the MCF5407 Containing The 4 th Generation Coldfire Microprocessor Core, Proceedings of IEEE International Test Conference, pp.151-159, 2000. [3] N. Tendolkar, R. Molyneaux, C. Pyron and R. Raina, At-Speed Testing of Delay Faults for Motorola s MPC7400, a PowerPC(TM) Microprocessor, Proceedings of IEEE VLSI Test Symposium, pp.3-8, 2000. [4] Intel IXP42X Product Line of Network Processors and IXC1100 Control Plane Processor Datasheet, Intel, Inc. [5] L.-T. Wang, X. Wen, P. Hsu, S. Wu, and J. Guo, At-Speed Logic BIST Architecture for Multi- Clock Designs, Proceedings of IEEE International Conference on Computer Design: VLSI in Computers and Processors, pp.475-478, 2005. [6] K. Hatayama, M. Nakao and Y. Sato, At-Speed Built-in Test for Logic Circuits with Multiple Clocks, Proceedings of IEEE Asia Test Symposium, pp.18-20, 2002. [7] H. Furukawa, X. Wen, L.-T. Wang, B. Sheu, Z. Jiang and S. Wu, A Novel and Practical Control Scheme for Inter-Clock At-Speed Testing, Proceedings of IEEE International Test Conference, pp.1-10, 2006. [8] Xiaoxin Fan, Huawei Li, Yu Hu, Xiaowei Li, An at-speed Scan Test Scheme Using On-Chip PLL, Journal of Computer-Aided Design & Computer Graphics (in Chinese), Vol.19. No. 3, pp.366-370, Mar. 2007. [9] N. Ahmed, C. P. Ravikumar, M. Tehranipoor and J. Plusquellic, At-Speed Transition Fault Testing With Low Speed Scan Enable, Proceedings of IEEE VLSI Test Symposium, pp.42-47, 2005. [10] Clock Domain Crossing, Cadence Design Systems, http://www.cadence.com/whitepapers/cdc_wp.pdf [11] M. Beck, O. Barondeau, M. Kaibel, F. Poehl, X. Lin, R. Press, Logic Design for On-Chip Test Clock Generation Implementation Details and Impact on Delay Test Quality, Proceedings of Design Automation and Test in Europe, pp.56-61, 2005. [12] L.-T. Wang, P.-C. Hsu, S.-C. Kao, M.-C. Lin, H.- P. Wang, H.-J. Chao and X. Wen, Multiple- Capture DFT System for Detecting or Locating Crossing Clock-Domain Faults During Self-Test or Scan-Test, U.S. Patent Application No. 7007213. 346