REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Similar documents
International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops

Figure.1 Clock signal II. SYSTEM ANALYSIS

An FPGA Implementation of Shift Register Using Pulsed Latches

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

Current Mode Double Edge Triggered Flip Flop with Enable

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

A Power Efficient Flip Flop by using 90nm Technology

Pulsed-Latch ASIC Synthesis in Industrial Design Flow

Minimization of Power for the Design of an Optimal Flip Flop

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Dynamic Power Reduction in Sequential Circuit Using Clock Gating

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

A Low Power Delay Buffer Using Gated Driver Tree

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES

Reduction of Area and Power of Shift Register Using Pulsed Latches

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Design of Testable Reversible Toggle Flip Flop

ISSN Vol.08,Issue.24, December-2016, Pages:

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Interconnect Planning with Local Area Constrained Retiming

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

A Novel Approach for Auto Clock Gating of Flip-Flops

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Retiming Sequential Circuits for Low Power

Partial Bus Specific Clock Gating With DPL Based DDFF Design

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

LUT Optimization for Memory Based Computation using Modified OMS Technique

Load-Sensitive Flip-Flop Characterization

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

IN DIGITAL transmission systems, there are always scramblers

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Power-Aware Placement

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

Low Power D Flip Flop Using Static Pass Transistor Logic

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop

Comparative study on low-power high-performance standard-cell flip-flops

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

ELEN Electronique numérique

Flip-flop Clustering by Weighted K-means Algorithm

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

Optimization and Power Reduction of Built-In Repair Analyzer for Memories

P.Akila 1. P a g e 60

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

SIC Vector Generation Using Test per Clock and Test per Scan

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Transcription:

Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210 215 RESEARCH ARTICLE ISSN 2320 088X REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE T. Angala Parameshwari 1, S. Jeevitha 2, Assistant Professor T.Thamaraimanalan 3 Department of Electronics and Communication Engineering, Sri Eshwar College of Engineering, Kinathukadavu, Coimbatore, INDIA parameshlta31@gmail.com 1 j_s.jeevitha@yahoo.in 2 thamyvlsi@gmail.com 3 Abstract: Nowadays power consumption is an important issue in high-performance digital circuits. Reducing Nowadays power consumption is an important issue in high-performance digital circuits. Reducing the size of a clock tree is an effective approach to reduce dynamic power dissipation in digital circuit designs. Existing methods are based on reduction of the flip-flop power alone, which gives limited amount of power savings. To achieve the considerable power saving, this project gives an analysis of the pulsed- latch utilization in a clock tree. A novel approach is proposed to efficiently construct a clock tree with both pulsedlatches and flip-flop. To avoid maximum power consumption in clock tree multiple pulse generators has been proposed. An algorithm has been developed for clock tree partition based on Voronoi diagram to avoid clustering. The method is based on minimum-cost, maximum-flow formulation to globally determine the tree topology, which maintains load balance and considers the wire length between pulse generators and pulsed latches. Experimental results indicate that the proposed novel approach can reduce the power consumption by a certain extent. It is an average compared with the most recent paper on the industrial circuits and ISCAS-2012 benchmarks respectively. This method simulated in Xilinx and Modelsim software. Keywords: clock tree size reduction, dynamic power reduction, pulse generator, pulsed latch Introduction Power consumption has become a crucial issue in high-performance digital circuits. Several techniques are proposed to reduce total power of a chip, such as multiple supply voltages [1], clock gating [2] [4], and clock-tree minimization. Because of heavy pipeline designs and high-frequency signal switching, a clock tree is known to be a major contributor to power dissipation. The clock tree accounts for a significant portion of total power consumption and consumes 20% 40% of total power in synchronous circuits. Therefore, the chip power can be greatly reduced by decreasing the clock-tree power. The power dissipation of a clock tree can be reduced by decreasing total (clock) wire capacitance. However, existing methods of clock-tree minimization are primarily based on flip-flops and focus on wire length minimization alone, which may limit achievable power savings. To avoid this problem, flip-flops are replaced with pulsed latch and latches are superior to flip-flops in terms of area, transition time, and power dissipation. The pulsed-latch designs have both advantages of latches and flip-flops: Timing verification is easy. Less power consumption If all the flip-flops in the circuit are replaced by pulsed latch then the cost and physical complexity will increase. To avoid this drawback the proposed method replaces a few flip flops with pulsed latch in the clock 2014, IJCSMC All Rights Reserved 210

tree design. So the proposed clock tree method contain both flip flop and pulsed latch to reduce the considerable power saving. I. Pulsed Latch Concept In current circuit designs, the most common storage element is a D-type flip-flop that consists of two latches (master and slave) triggered by a clock signal. As transistor counts of a flip-flop are two times than that of a single latch, latches are superior to flip-flops in terms of area, transition time, and power dissipation. A pulsed-latch-based design style was adopted for dynamic power reduction. However, this modification obtain excessive costs and causes high complexity in physical-synthesis stages. Therefore, in this paper, we present an efficient pulsed-latch approach in physical design to minimize the cost of utilizing pulsed latches under the current design flow. The proposed method allows pulsed latches and flip-flops to design the clock tree. Therefore, the clock tree with mixture of sinks is constructed to further reduce power dissipation, which consumes less power than that of the clock tree shown in Figure 1(a). As Figure 1(b) shows, one sink is not replaced by the pulsed latch, and the clock tree has a mixture of sinks (flip-flops and pulsed latches) for further power reduction. Figure 1. Clock tree with different types of sinks and drivers II. Pulsed Latch Replacement And Pulse Generator Insertion Since the pulse generator should be inserted in the clock network which considering the trade-off of power consumption between pulse generators and pulsed latch, the methodology employs one objective function in clock tree synthesis (CTS) methodology to pick up a clock-tree structure with the most efficient power reduction through pulsed latch replacement. Pulsed latches can then be used to substitute existing flip-flops where ever such substitution is possible. Thus, there is a tradeoff between the pulse-generator insertion and pulsed-latch substitution. As the clock pulse is sensitive to output load, it is essential to control the load of a pulse generator for potential pulse degradation. Therefore, two major factors must be considered to control the output load: 1) The pulse-generator driving load cannot exceed the maximum tolerable load defined in the library and 2) The number of pulsed latches driven by a pulse generator should be smaller than the maximum fan out number. Figure 2. shows three methods of pulse-generator insertion To prevent the distortion of a clock signal, a pulse generator connects a single pulsed latch in [Figure 2(a)], but the power consumption may be significantly high, because of the many inserted pulse generators. On the other hand, if we use a single pulse generator to drive all pulsed latches [Figure 2(b)], the clock pulse waveform may be degraded because of a heavy output load or maximum fan out constraint. Considering the tolerable load of a pulse generator, the result with the best trade off in this example is to use three pulse generators to trigger pulsed latches [Figure 2(c)]. The two upper pulse generators PG1 and PG3 in 2014, IJCSMC All Rights Reserved 211

Figure 2(c) can be replaced by a smaller-size one (yellow) because it only drives two pulsed latches, further reducing power consumption. IV. Design Flow Figure 3. Comparisons of pulsed-latch design flows. (a) Design flow with pulsed latch alone (b) Proposed design flow with mixed structure Figure 3 shows a comparison between previous existing design and the proposed design. As Figure 3(a) shows, presented a pulsed-latch with a single-type pulse generator. However, this proposed method should contain a mixed structure of pulse latches and flip-flops. Moreover, the driven load of pulse generators may be different, and replacing generators with smaller generators will further reduce power consumption. To achieve these goals, this paper presents a new clock tree with a mixed structure of pulse latches and flip-flops that is capable of multi type pulse-generator insertion [Figure 3(b)]. V. Voroni Diagram Construction As pulsed-latch-aware clustering always merge the closest sinks, the results could exclude some better solutions. To overcome this deficiency, In a Voronoi diagram, for each pulsed latch within a convex polygon, the distance between the pulsed latch and its original pulse generator may be farther than the distance between pulse generators located in other polygons and itself. Therefore, a pulsed latch located at neighboring polygons may connect to the corresponding nearest pulse generator, such that pulsed latches can be collectively considered when determining the topology. Figure 4. Example of connecting pulsed latches to nearest inserted pulse generators. Figure 4 shows an example of pulsed latches connected to the nearest inserted pulse generator. Initially, two lowest-level buffers connect to a set of flip-flops [Figure 4(a)]. Then, use the original buffers to construct a Voronoi diagram and replace the flip-flops with pulsed latches clustered by pulsed-latch-aware clustering [Figure 4 (b)]. Dotted lines indicate the Voronoi diagram, and there are six polygons (PA to PF). Next, to group the nearest pulsed latches located at different polygons, we examine the distance between the pulsed latches in neighboring polygons and pulse generators. When the polygon PA is selected to process, we will examine the pulsed latches at neighboring polygons and calculate the distance between the pulsed latches and the pulse generators in PA. The topology of pulsed latches and pulse generators changes if the wire length can be reduced without violating constraints. Figure 4(c) shows an example that PLC is at the boundary of polygon PB, and PLC is farther than the original pulse generator. This method groups the nearest pulse latches during topology determination. 2014, IJCSMC All Rights Reserved 212

VI. Major Goals of This Paper 1) Unlike most related papers, which are based on Flip-flops, the proposed method adopts pulsed-latch Utilization for power savings. This type of clock-tree Migration efficiently converts a flip-flop-based clock tree to a pulsed-latch-based clock tree. 2) Considering the additional power consumed by inserted Pulse generators, the proposed approach can efficiently construct a clock tree with both pulsed-latches and flip-flops. 3) To reduce runtime, the proposed approach uses a Voronoi diagram to divide the design into several Polygons for providing locality information among pulsed latches. We solve the problem with a minimum-cost maximumflow method to globally determine the clock-tree topology, which maintains the appropriate load balance while considering the Wire length between pulse generators and pulsed latches. 4) Experimental results indicate that the proposed clock tree can reduce power consumption by 25% to 30% an average compared with the most recent paper on the industrial Circuits and ISCAS-2012 benchmarks, respectively. VII. Power Analysis We conducted experiments on ISCAS-2012 benchmarks, and compares the proposed clock tree with the Flip-flop-based and pulsed-latch-based trees. The comparison of power consumption and timing information are shown in table (1).These tables show the proposed approach can reduce the power consumption by25% to30%on average compared with flip-flop-based circuits and pulsed-latch-based circuits, respectively. Table (1): power summary Figure 5. Power comparison chart 1.6 1.4 1.2 1 0.8 0.6 FF POWER LATCH POWER 0.4 0.2 0 ISCAS27 ISCAS208 ISCAS298 Figure 5 shows the power consumption of ISCAS-2012 Benchmark circuits. Proposed approach can reduce the power consumption by25% to30% on average compared with flip-flop-based circuits and pulsedlatch-based circuit. 2014, IJCSMC All Rights Reserved 213

VIII. Simulation Result The simulation result of ISCAS 2012 (ISCAS 208) benchmark circuit shown below: Simulation result for ISCAS208 Circuit using Flipflop Simulation result for ISCAS208 Circuit using Pulsed Latch IX. Proposed Multiple Pulse Generator Method Insertion To minimize clock power in digital circuits some of the flip flop in the circuit replaced with pulsed latch. The proposed clock approach based on both flip-flop and pulsed latch. In pulsed-latch designs, a pulse generator is indispensable to generate a clock pulse, but consumes more power than a pulsed latch and a buffer. As pulse generators consume large amounts of power in pulsed-latch circuits, it is critical to reduce the pulse-generator power. The proposed multi type pulse generator insertion reduces the unnecessary power dissipation. So the above benchmark circuits are implemented with multiple pulse generator instead of single pulse generator. These proposed methods again reduce the power consumption by 2% to 5% on average compared with single pulse generator. The simulation result of ISCAS 2012 (ISCAS 344) benchmark circuit shown below: Simulation result for ISCAS208 ccircuit using single pulse generator Simulation result for ISCAS208 Circuit using multiple pulse generator Figure 6. Power Comparison Graph 150 100 50 0 ISCAS344 Benchmark circuit ISCAS208 Benchmark circuit ISCAS208 Benchmark circuit2 Single Pulse Generator Multiple Pulse Generator Figure 6 shows the power consumption of ISCAS-2012 Benchmark circuits. The migration approach can reduce the power consumption by25% to30%on average compared with flip-flop-based circuits and pulsed- 2014, IJCSMC All Rights Reserved 214

latch-based circuit. After these circuits are implemented with Multiple Generator.This proposed methods again reduce 2% to 5% power consumption. X. Conclusion In this paper, proposed an efficient clock-tree approach that replaces a flip-flop-based clock tree into a pulsedlatch-based one for dynamic power reduction. To further reduce the power dissipation of pulse generators, enabled multi type pulse generators and identified the pulse generators with suitable size to drive pulsed latches. Experimental results indicated that the proposed approach can improve both power consumption compared with the most recent research on the industrial circuits and ISCAS-2012 benchmarks, respectively. References [1] K. Usami and M. Horowitz, Clustered voltage scaling technique for low-power design, in Proc. Int. Symp. Low Power Electron. Design, 1995, pp. 3 8. [2] Q. Wu, M. Pedram, and X. Wu, Clock-gating and its application to low power design of sequential circuits, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 47, no. 3, pp. 415 420, Mar. 2000. [3] A. H. Farrahi, C. Chen, A. Srivastava, G. Tellez, and M. Sarrafzadeh, Activity-driven clock design, IEEE Trans. Comput. Aided Design, vol. 20, no. 6, pp. 705 714, Jun. 2001. [4] J. Oh and M. Pedram, Gated clock routing for low-power microprocessor design, IEEE Trans. Comput. Aided Design, vol. 20, no. 6, pp. 715 722, Jun. 2001. [5] S. Shibatani and A. Li, Pulse-latch approach reduces dynamic power, EE Times, 2006. [6] A. Venkatraman, R. Garg, and S. P. Khatri, A robust, fast pulsed flip-flop design, in Proc. Great Lakes Symp. VLSI, May 2008, pp. 119 122. [7] Y. L. Chuang, S. Kim, Y. Shin, and Y. W. Chang, Pulsed-latch-aware placement for timing-integrity optimization, in Proc. 47th ACM/IEEE Design Autom. Conf., Jun. 2010, pp. 280 285. [8] H. Lee, S. Paik, and Y. Shin, Pulse width allocation and clock skew scheduling: Optimizing sequential circuits based on pulsed Latches, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 29, no. 3, pp. 355 366, Mar. 2010. [9] H.-T. Lin, Y.-L. Chuang, and T.-Y. Ho, Pulsed-latch-based clock tree migration for dynamic power reduction, in Proc. Int. Symp. Low Power Electron. Design, Aug. 2011, pp. 39 44. [10] S. Paik, G. Nam, and Y. Shin, Implementation of pulsed-latch and pulsed-register circuits to minimize clocking power, in Proc. IEEE/ACM Int. Conf. Comput. Aided Design, Nov. 2011, pp. 640 646. Acknowledgments We are thankful to our Head of the Department, Prof. Muthukrishnan for his guidance and help. We express my heartiest gratitude for our project guide Asst. Prof. Mr. T. Thamaraimanalan for his everlasting support and help. He gave shape to our abstract idea and made everything possible. His timely guidance is the reason for the systematic completion of the project. 2014, IJCSMC All Rights Reserved 215