Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Similar documents
Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Design for Testability

K.T. Tim Cheng 07_dft, v Testability

Design for Testability Part II

Chapter 8 Design for Testability

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Scan. This is a sample of the first 15 pages of the Scan chapter.

Testing Digital Systems II

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Overview: Logic BIST

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

VLSI System Testing. BIST Motivation

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

UNIT IV CMOS TESTING. EC2354_Unit IV 1

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

VLSI Test Technology and Reliability (ET4076)

Testing Sequential Circuits

Design of Fault Coverage Test Pattern Generator Using LFSR

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Why FPGAs? FPGA Overview. Why FPGAs?

TKK S ASIC-PIIRIEN SUUNNITTELU

Unit V Design for Testability

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Simulation Mismatches Can Foul Up Test-Pattern Verification

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Based on slides/material by. Topic Testing. Logic Verification. Testing

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.


Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

ECE 715 System on Chip Design and Test. Lecture 22

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

Chapter 3 Unit Combinational

Digital Integrated Circuits Lecture 19: Design for Testability

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

11. Sequential Elements

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

Slide Set 14. Design for Testability

CSE 352 Laboratory Assignment 3

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Sharif University of Technology. SoC: Introduction

Lecture 10: Sequential Circuits

Read-only memory (ROM) Digital logic: ALUs Sequential logic circuits. Don't cares. Bus

Experiment 8 Introduction to Latches and Flip-Flops and registers

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

Chapter 2. Digital Circuits

L14: Quiz Information and Final Project Kickoff. L14: Spring 2004 Introductory Digital Systems Laboratory

At-speed Testing of SOC ICs

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

New Directions in Manufacturing Test

CHAPTER1: Digital Logic Circuits

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

2.6 Reset Design Strategy

This Chapter describes the concepts of scan based testing, issues in testing, need

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Logic Design II (17.342) Spring Lecture Outline

IT T35 Digital system desigm y - ii /s - iii

COMP2611: Computer Organization. Introduction to Digital Logic

CS 261 Fall Mike Lam, Professor. Sequential Circuits

MC9211 Computer Organization

Lecture 8: Sequential Logic

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

Testing Digital Systems II

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts

Overview ECE 553: TESTING AND TESTABLE DESIGN OF. Ad-Hoc DFT Methods Good design practices learned through experience are used as guidelines:

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

At-speed testing made easy

Combinational vs Sequential

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Chapter 5 Flip-Flops and Related Devices

Sequential Circuit Design: Part 1

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

Full scan testing of handshake circuits. Frank J. te Beest

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

Flip-Flops and Registers

First Name Last Name November 10, 2009 CS-343 Exam 2

COE328 Course Outline. Fall 2007

Transcription:

Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by the book authors Slide 1 of 43

Outline Iterative Logic Arrays Ad-hoc DFT methods Scan design Design rules Scan register Scan flip-flopsflops Scan test sequences Overheads Scan design system Slide 2 of 43

Iterative Logic Arrays (ILAs) Some circuits are easy to test, for example, ILAs Definition: An ILA is a k-dimensional array-like ay circuit cu composed of identical cells with uniform interconnections Array circuits can be tested for powerful fault models using relatively few tests Examples: Arithmetic circuits Ripple-carry adders Array multipliers Bit-sliced processors Random-access access memories: RAMs, ROMs ILA models of sequential circuits Slide 3 of 43

Example 1: Ripple-Carry Adder 1D array composed of full-adder cells Slide 4 of 43

Example 1: Ripple-Carry Adder (cont d) Assume the cell fault (CF) model, which implies that all single logic faults in all realizations will be detected. t d We must apply eight patterns to every cell and observe the responses. Six of the 8 patterns can be applied simultaneously to all cells; e.g., A i B i C i = 000 Faults in FA i can be observed via S i or C i+1 Two of the 8 patterns cannot be applied simultaneously to all cells, namely A i B i C i = 001 and 110, because C in C out Slide 5 of 43

Example 1: Ripple-Carry Adder (cont d) The patterns AiBiCi = 001 and 110 can be applied simultaneously l to alternating cells All CF faults in an n-bit RC adder can be detected by 8 tests, independent of the array size n. The property of an n-cell ILA that all (cell) faults can be detected dby a constant number test patterns for any n is called C-testability Slide 6 of 43

Example 2: Gate Array This is an ILA realization of a k-input AND function, k = 1,2,3, Question 1: Is an AND array C-testable? Question2: What if the AND function is replaced by XOR? Slide 7 of 43

Design for Testability (DFT) Test generation algorithms for logic circuits are complex (NP complete) Circuits containing, say, 10 6 gates or 10 2 flip-flops, flops, may be too large for ATPG tools Heuristic methods are used for testing complex circuits such as microprocessors, RAMs, etc. Fault coverage of such methods can be low and hard to determine To ensure high levels of testability, design for testability (DFT) is often essential Slide 8 of 43

1. Maximize fault coverage Testability Goals 2. Minimize test application time 3. Minimize test data size 4. Minimize test generation effort Slide 9 of 43

Definition Design for testability (DFT) refers to those design techniques that make test generation and test application cost-effective. DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Partial ls Scan Built-in in self-test (BIST) Boundary scan Slide 10 of 43

DFT In general, DFT deals with ways for improving controllability and observability Costs associated with DFT: Pins Area/Yield Performance Design time Slide 11 of 43

Objections to DFT Short-sighted view of management (schedule and costs) Life-cycle cost ignored by development management/contractors/buyers / Area/functionality/performance myths Lack of knowledge by design engineers Testing is someone else s problem Lack of tools to support DFT (this is improving.) i Slide 12 of 43

Ad-Hoc DFT Methods Good design practices learnt through experience are used as guidelines: Avoid asynchronous (unclocked unclocked) feedback. ( oscillation) Make flip-flops flops initializable. (clear or preset) Avoid redundant gates. Avoid large fan-in gates. (controllability, observability) Provide test control for difficult-to to-control signals. Avoid gated clocks. Consider ATE requirements (tristates (tit tristates, t etc.) Design reviews conducted by experts or design auditing tools. Slide 13 of 43

Disadvantages of Ad-Hoc DFT Methods Circuits are too large for manual inspection. Experts and tools not always available. Test generation is often manual with no guarantee a of high fault coverage. Design iterations may be necessary. Use of ad-hoc DFT is usually discouraged for large circuits. Slide 14 of 43

Partitioning Ad Hoc Design Rules Insert control/observation points (test points), e.g., a reset line for initialization Avoid redundancy Improve circuit structure, e.g., break global feedback during testing Provide clock access during testing Slide 15 of 43

Partitioning (Divide and Conquer) Physically y divide the system into multiple chips or boards. On board-level systems, use jumper wires to divide subunits. Has major performance penalties. Slide 16 of 43

Partitioning using Degating Degating: another technique for separating modules on chip/board with lower performance penalties. clock degating module partitioning Slide 17 of 43

Test Point Insertion Make hard-to-control internal signals controllable via extra primary inputs and logic (CP = control points) Make hard-to-observe observe internal signals observable via extra primary outputs and logic (OP = observation points) Slide 18 of 43

Test Point Insertion (cont d) Control-Point Sites Clock lines Global reset lines Inputs of state-control devices Lines of high fan-out (fan-out stems) Control (especially tristate control) lines of buses All bus lines in bus-structured structured designs Control inputs to (embedded) RAMS and ROMs Some enable/hold/select control lines Lines identified by testability measuring programs as having low controllability Slide 19 of 43

Test Point Insertion (cont d) Observation-Point Sites Buried (not directly accessible) control/status lines Outputs t of state-control t t devices Lines of high fan-out (fan-out stems) Outputs of high fan-in circuits, e.g. parity generators Logically redundant nodes Global feedback paths Output lines in bus-structured structured designs Lines identified by testability measuring programs as having low observability Main Limitation it ti Availability of (spare) input/output terminals Add MUX s to reduce number of I/O pins Serially shifts control point values Long testing time Slide 20 of 43

Test Point Insertion (cont d) Memory Control/Observation Slide 21 of 43

DFT: Circuit Restructuring Example: Counter Design Slide 22 of 43

DFT: Timing Control Avoid asynchronous timing Make clocks observable and controllable during testing Slide 23 of 43

Design Rule Summary Partition large hard-to-test test circuits into small testable components Design controllable (e.g. initializable) and observable units with careful selection of control/test points Allow global feedback paths to be opened/closed Avoid redundancy, or allow it to be overridden during testing Avoid asynchronous circuits, provide access to clock signals Conclusions Often ad hoc design modification is too late to significantly improve a circuit's testability Develop a systematic test plan at the start of the design process Slide 24 of 43

Scan Design Circuit is designed using pre-specified design rules. Test structure (hardware) is added to the verified design: Add a test control (TC) primary input. Replace flip-flops flops by scan flip-flopsflops (SFF) and connect to form one or more shift registers in the test mode. Make input/output of each scan shift register controllable/observable from PI/PO. Use combinational ATPG to obtain tests for all testable faults in the combinational logic. Add shift register tests and convert ATPG tests into scan sequences for use in manufacturing test. Slide 25 of 43

Scan Design Rules 1. Use only clocked D-type of flip-flops flops for all state variables. 2. At least one PI pin (test t control) must be available for test; more pins, if available, can be used. 3. All clocks must be controlled from PIs. 4. Clocks must not feed data inputs of flip-flops. flops. Slide 26 of 43

Correcting a Rule Violation All clocks must be controlled from PIs. Comb. logic D1 Q CK D2 FF Comb. logic Comb. logic D2 D1 CK FF Q Comb. logic Slide 27 of 43

The Scan Concept Slide 28 of 43

Scan Flip-Flop (SFF) D Master latch Slave latch TC Logic overhead Q SD MUX Q CK D flip-flop CK Master open Slave open t TC (Test Control) Normal mode, D selected Scan mode, SD selected t Slide 29 of 43

Level-Sensitive Scan-Design Flip-Flop (LSSD-SFF) Master latch Slave latch D Q MCK Q SCK D flip-flop SD TCK Logic overhead MCK TCK MCK TCK Normal mode Scan mode SCK t Slide 30 of 43

Adding Scan Structure PI PO Combinational logic SFF SFF SCANOUT SFF TC or TCK SCANIN Not shown: CK or MCK/SCK feed all SFFs. Slide 31 of 43

Tests for Full-Scan Circuits Test generation for combinational logic only Separate the test vectors and response data, based on PI, PO and state (F) variables: t i = t ii, t F i i= 1, 2, n r i = r io, r i F Test application: 1. Scan-in t if by setting the circuit in test mode 2. Apply t I i 3. Observe r O i 4. Set the circuit in functional mode and capture the response r F i into scan register 5. Scan-out r F scanning-in F i while t i+1 by setting the circuit in test mode 6. i i + 1. Go to 2 Slide 32 of 43

Combinational Test Vectors PI I2 I1 O1 O2 PO SCANIN TC Combinational logic SCANOUT Present state S1 S2 N1 N2 Next state Slide 33 of 43

Combinational Test Vectors (cont d) PI I1 I2 Don t care or random bits SCANIN S1 S2 TC 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 PO O1 O2 SCANOUT N1 N2 Sequence length = (n comb + 1) n sff + n comb clock periods n comb = number of combinational vectors n sff = number of scan flip-flops Slide 34 of 43

Testing Steps Scan register must be tested prior to application of scan test sequences. A shift sequence 00110011... of length n sff +4in scan mode (TC=0) produces 00, 01, 11 and 10 transitions ii in all llfli flip-flops flops and observes the result at SCANOUT output. Covers most, if not all, single stuck-at faults in FFs, and verifies the correctness of the shift operation of the scan register. Add n sff +4 to sequence length obtained in previous slide; Total scan test length: (n comb + 2) n sff + n comb + 4 clock periods. Example: 2,000 scan flip-flops, flops, 500 comb. vectors, total scan test length ~ 10 6 clocks. Multiple scan registers reduce test length. Slide 35 of 43

Multiple Scan Registers Multiple scan registers reduce test length. Scan flip-flops flops can be distributed among any number of shift registers, each having a separate scanin and scanout pin. Sequence length is determined by the longest scan shift register. One test control (TC) pin is essential (scanin and scanout for each chain can share PI and PO pins, respectively). PI/SCANIN Combinational logic SFF SFF SFF M U X PO/ SCANO UT TC CK Slide 36 of 43

Scan Design Advantages/Disadvantages Advantages: 1. By adding controllability/observabilityobservability to the state variables, scan design also eases functional testing. 2. The testing problem is transformed from one of sequential circuit testing to one of combinational circuit testing. Disadvantages: 1. IO pins: One pin necessary. 2. Additional area for latches/ffs (area overhead) Gate overhead = [4 n sff /(n g +10n ff )] x 100%, where n g = comb. gates; n ff = flip-flops; Example: n g = 100k gates, n ff = 2k flip-flops,, overhead = 6.7%. More accurate ate estimate must consider scan wiring and layout area. Slide 37 of 43

Scan Design Advantages/Disadvantages 3. Additional time required to latch the next state into the resisters (speed overhead) Multiplexer delay added in combinational path; approx. two gate-delays. Flip-flop output loading due to one additional fan-out; approx. 5-6%. 4. Additional time required to scan in/out test vectors and responses (testing overhead) 5. Clock generation and distribution ib ti is more difficult. Slide 38 of 43

ATPG Example: S5378 Original Number of combinational gates 2,781 Number of non-scan flip-flops (10 gates each) 179 Number of scan flip-flops (14 gates each) 0 Gate overhead 0.0% 0% Number of faults 4,603 PI/PO for ATPG 35/49 Fault coverage 70.0% 0% Fault efficiency 70.9% CPU time on SUN Ultra II, 200MHz processor 5,533 s Number of ATPG vectors 414 Scan sequence length 414 Full-scan 2,781 0 179 15.66% 4,603 214/228 99.1% 100.0% 5 s 585 105,662 Slide 39 of 43

Automated Scan Design Rule violations Combinational vectors Scan design rule audits Behavior, RTL, and logic Design and verification Gate-level netlist Combinational Scan hardwareare ATPG insertion Scan sequence and test program generation Scan chain order Scan netlist Chip layout: Scanchain optimization, timing verification Test program Design and test data for manufacturing Mask data Slide 40 of 43

Timing and Power Small delays in scan path and clock skew can cause race condition. Large delays in scan path require slower scan clock. Dynamic multiplexers: Skew between TC and TC signals can cause momentary shorting of D and SD inputs. Random signal activity in combinational circuit during scan can cause excessive power dissipation. Slide 41 of 43

Summary Scan is the most popular DFT technique: Rule-based design Automated DFT hardware insertion Combinational ATPG Advantages: Design automation High hf fault coverage; helpful l in diagnosis Hierarchical: scan-testable modules are easily combined into large scan-testable systems Moderate area (~10%) and speed (~5%) overheads Slide 42 of 43

Disadvantages: Summary Area overhead Due to larger flip-flops Due to extra routing Possible performance degradation Extra gate delay due to the multiplexer Extra capacitive i loading delay due to scan wiring i at the flip-flop output Large test data volume and long test time Basically a slow speed (DC) test Not applicable to all designs (e.g. asynchronous designs, designs violating scan design rules) High power dissipation during testing Slide 43 of 43