FinFETs & SRAM Design

Similar documents
The Impact of Device-Width Quantization on Digital Circuit Design Using FinFET Structures

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Planar fully depleted silicon technology to design competitive SOC at 28nm and beyond

Noise Margin in Low Power SRAM Cells

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)


IMPACT OF PROCESS VARIATIONS ON SOFT ERROR SENSITIVITY OF 32-NM VLSI CIRCUITS IN NEAR-THRESHOLD REGION. Lingbo Kou. Thesis

24. Scaling, Economics, SOI Technology

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Impact of Intermittent Faults on Nanocomputing Devices

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Variation-and-Aging Aware Low Power embedded SRAM for Multimedia Applications

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

MOS Logic Families. Somayyeh Koohi. Department of Computer Engineering Sharif University of Technology

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Wire Delay and Switch Logic

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

CS 152 Computer Architecture and Engineering

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays

Development of Ultra-High-Density (UHD) Silicon Photomultipliers with improved Detection Efficiency

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

Single-Event Upset Technology Scaling Trends of. Unhardened and Hardened Flip-Flops in Bulk CMOS. Nelson J. Gaspard III.

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Digital Integrated Circuits EECS 312

Sharif University of Technology. SoC: Introduction

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Tutorial Outline. Typical Memory Hierarchy

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

1. Publishable summary

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

EE5780 Advanced VLSI CAD

A Low-Power CMOS Flip-Flop for High Performance Processors

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

Design and Multi-Corner Optimization of the Energy-Delay Product of CMOS Flip-Flops under the NBTI Effect

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Design of Organic TFT Pixel Electrode Circuit for Active-Matrix OLED Displays

AM-OLED pixel circuits suitable for TFT array testing. Research Division Almaden - Austin - Beijing - Haifa - India - T. J. Watson - Tokyo - Zurich

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

CCD220 Back Illuminated L3Vision Sensor Electron Multiplying Adaptive Optics CCD

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

RECENTLY, the growing popularity of powerful mobile

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

COMPENSATION FOR THRESHOLD INSTABILITY OF THIN-FILM TRANSISTORS

DESIGN AND ANALYSIS OF ADDER CIRCUITS USING LEAR SLEEP TECHNIQUE IN CMOS TECHNOLOGIES

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

Low Power D Flip Flop Using Static Pass Transistor Logic

Lecture 18 Design For Test (DFT)

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Outline

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Digital time-modulation pixel memory circuit in LTPS technology

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

P_02_1011:A Novel Pixel Circuit to Compensate for the Degradation of OLED Luminance in High-Resolution AMOLED Displays

Cascade2D: A Design-Aware Partitioning Approach to Monolithic 3D IC with 2D Commercial Tools

DESIGN OF NOVEL ADDRESS DECODERS AND SENSE AMPLIFIER FOR SRAM BASED memory

Static Timing Analysis for Nanometer Designs

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

STMicroelectronics Standard Technology offers at CMP in 2017 Deep Sub-Micron, SOI and SiGe Processes

CS/EE 181a 2010/11 Lecture 6


Technology Scaling Issues of an I DDQ Built-In Current Sensor

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

A Power Efficient Flip Flop by using 90nm Technology

Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic

Designing VeSFET-based ICs with CMOS-oriented EDA Infrastructure

PICOSECOND TIMING USING FAST ANALOG SAMPLING

Data Sheet. AMMC GHz Image Reject Mixer. Description. Features. Applications. Absolute Maximum Ratings [1]

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

Design of Fault Coverage Test Pattern Generator Using LFSR

IC Mask Design. Christopher Saint Judy Saint

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Design Methodology of Ultra Low-power MPEG4 Codec Core Exploiting Voltage Scaling Techniques

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Dual Slope ADC Design from Power, Speed and Area Perspectives

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

Transcription:

FinFETs & SRAM Design Raymond Leung VP Engineering, Embedded Memories April 19, 2013 Synopsys 2013 1

Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 2

How FinFETs Work Field Effect Transistors: It is all about Gate(s) Control of the Channel Planar FET FinFET Single gate channel control is limited at 20nm and below Increasing sub-threshold leakage Increasing gate leakage Decreasing mobility Synopsys 2013 3 Multiple gate surrounds a thin channel and can fully deplete it of carriers. This results in much better electrical characteristics. Better control of SCE Lower DIBL and lower SS Higher I ON /I OFF for fixed V DD, or lower V DD to achieve target I ON /I OFF

Drain Source FinFET Advantages & Considerations Clear Advantages Gate Length Excellent short channel control leads to Lower leakage (lower DIBL and lower SS) low Vt variability due to low channel doping Less variability caused by random dopant fluctuations Lower operating voltage -> 50% dynamic power savings Additional Considerations Quantized widths (and channel lengths) Body biasing totally ineffective Higher parasitics Potential Self-Heating issues Thermal aspects of ESD can be an issue Degradation and aging: NBTI a bit worse than planar P fin Fin Height Fin Width Synopsys 2013 4

FinFET Device Complexity Ccc TC Ct TC Diff Cf Fin Cc1 Gate Csd Cc2 Fin Diff Cdiff Cfin Cg (in channel) Side View Cg2 Silicide contact Gate Diff Cf2 Gate Diff R diff Rext/LDD Gate Oxide Field Poly Cfp Top View Heavilydoped S/D regions S/D Extension Region Channel Region Synopsys 2013 5

Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 6

FinFET SRAMs The Good News Source: Kawasaki et al, 2006 Symposium on VLSI technology Digest of Technical Papers Precharge & equilazer Pass gate Higher performance and lower leakage compared to planar Operates at lower Vdd than planar Good static noise margin (SNM) at low Vdd Decent noise to signal ratio can be achieved (with a β=2 for example) Good (Low) Variability Read Margin and Write Margin distribution narrower than in planar Pull ups Guard ring strap Synopsys 14 nm Sense AMP Synopsys 2013 7

FinFET SRAM Challenges The β ratio is a quantized number thus finetuning β is not possible Poses challenges for both the read and write margins Requires assist circuitry for reliable operation Body-bias techniques are not efficient New techniques needed Realizing long channel devices is litho driven (DP vs. spacer) and has limited options Stack short channels in series Source: Jong-Ho Lee Seoul National University Thesis Manipulation of spacer (very limited) Multi-Fin pitch Synopsys 2013 8

SiGe FinFET SRAM Challenges (cont.) Layout effects on devices critical (lonely FinFET phenomena) Self-heating could be a problem since fins are less efficiently cooled Need to be properly modeled and accounted for With node scaling, channel area decreases and σvt increases Vt mismatch issues (challenges stemming from variation of Tox, εox) and work function along the fin height STI Isolated FinFET S G +53 MPa D Isolated pfinfets relax the stress (Driving current drops significantly!) Aging simulation is important. NBTI dominates PBTI Synopsys 2013 9

SRAM Assist Schemes Survey TECHNIQUE HELPS CONCEPT COMMENTS Constant negative-level write buffer (CNL-WB) Dynamic power supply (column based) Write margin BL-level adjustment Suitable for memory compilers Read and Write margins SRAM cell voltage to be switched dynamically based on the actual read, write Various techniques, some need IO VDD source. Can have dummy read issues Negative bit-line capacitive coupling Write margin Improves pass-gate transistor drive compared to pull-up No dummy read problems, No area/ power penalty, No external VDD needed. Adaptive dynamic word-line underdrive Read margin /Write margin Forward bias/ reverse bias pull-up for higher / lower drive Each done separately at the expense of the other margin Sense AMP bit-line amplification Read margin Provides full BL amplification to half-selected columns. Full BL amplification Significant overhead cost Word-line lowering Read margin Weaken pass-gate transistor drive Bad for power BL pulsing Read margin Improves the discharge rate of the Deteriorates writability low-node of the cell WL pulsing Read margin Provides data recovery by writing back the original data prior to the disturb. Deteriorates writability Dual Supply Read margin Has power savings angle in addition to read margin improvement RMW (Read Modify Write) Read margin Use pre-column sense amp. All cells are read first and re-written Sense amp timing is critical Synopsys 2013 10

Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 11

Reliability in FinFETs HCI in FinFETs : The narrower the FIN, the better the HCI immunity due to smaller half-life of the hot electrons In general, HCI immunity for FinFET is better than planer NBTI/PBTI (Negative / Positive Bias Temperature Instability): a function of the high-k gate stack not of the device. In theory should be very similar to planar There are indications it is worse for FinFET because of higher density of hydrogen dangling bonds at the Fin-Gate stack interface due to the <110> orientation of channel More significant for FinFETs due to lower nominal Vt and nominal VDD Not enough data available to establish a defined trend Synopsys 2013 12

Soft Error Rate FinFETs vs. Planar TCAD simulation indicates that with all identical variables, SER rate in bulk FinFET- based SRAM is better than planar Charge generation caused by energetic impinging particles is in the substrate. In planar, a lot of it can reach the drain In FinFET, the conduction is mainly in the channel, thus most of the charge dissipates in the substrate, NOT in the drain, therefore probability of upset is much lower Synopsys 2013 13

Agenda FinFET the Device SRAM Design with FinFETs Reliability Concerns Summary Synopsys 2013 14

The Real Deal About FinFETs Designers must deal with new BSIM models, new netlist parameters, quantized W and L, NF, NFIN, etc. but no major disruption in design methodology for IP users SRAM design techniques including body bias and various assist techniques might not work for FinFETs and require a fresh approach Layout migration from planar is not always feasible. High device parasitics and high device performance dependency on layout call for extreme care in layout HCI and SER are generally better than in planar due to thin body & elevated channel. NBTI is slightly worse Synopsys 2013 15

Synopsys 2013 16 Thank You