Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Similar documents
Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill

SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Post-Routing Layer Assignment for Double Patterning

Multi-Shaped E-Beam Technology for Mask Writing

IC Mask Design. Christopher Saint Judy Saint

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

Auto classification and simulation of mask defects using SEM and CAD images

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

Self-Aligned Double Patterning for 3xnm Flash Production

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

Lossless Compression Algorithms for Direct- Write Lithography Systems

Sharif University of Technology. SoC: Introduction

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

VLSI Chip Design Project TSEK06

EM1. Transmissive Optical Encoder Module Page 1 of 8. Description. Features

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn:

Approaching Zero Etch Bias at Cr Etch Process

TKK S ASIC-PIIRIEN SUUNNITTELU

11. Sequential Elements

Nano-Imprint Lithography Infrastructure: Imprint Templates

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

System Quality Indicators

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Overcoming Challenges in 3D NAND Volume Manufacturing

ALONG with the progressive device scaling, semiconductor

Design and Implementation of LUT Optimization DSP Techniques

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

EM1. Transmissive Optical Encoder Module Page 1 of 9. Description. Features

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Layout Decompression Chip for Maskless Lithography

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Introduction to CMOS VLSI Design (E158) Lecture 11: Decoders and Delay Estimation

An Efficient IC Layout Design of Decoders and Its Applications

Design Project: Designing a Viterbi Decoder (PART I)

PARALLEL PROCESSOR ARRAY FOR HIGH SPEED PATH PLANNING

24. Scaling, Economics, SOI Technology

Wafer Thinning and Thru-Silicon Vias

Digital Integrated Circuits Lecture 19: Design for Testability

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

PHYS 3322 Modern Laboratory Methods I Digital Devices

7 DESIGN ASPECTS OF IoT PCB DESIGNS JOHN MCMILLAN, MENTOR GRAPHICS

CSE 101. Algorithm Design and Analysis Miles Jones Office 4208 CSE Building Lecture 9: Greedy

Amon: Advanced Mesh-Like Optical NoC

High Performance Carry Chains for FPGAs

Implementation of Memory Based Multiplication Using Micro wind Software

EM1. Transmissive Optical Encoder Module Page 1 of 8. Description. Features

Unit V Design for Testability

Iterative Deletion Routing Algorithm

LED Array Tutorial. This guide explains how to set up and operate the LED arrays that can be used for your. Internal Structure of LED Array

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

AltiumLive 2017: Effective Methods for Advanced Routing

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

BTC and SMT Rework Challenges

UNIT IV CMOS TESTING. EC2354_Unit IV 1

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

Retiming Sequential Circuits for Low Power

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

SoC IC Basics. COE838: Systems on Chip Design

The input-output relationship of an N-tap FIR filter in timedomain

In Chapter 4 on deflection measurement Wöhler's scratch gage measured the bending deflections of a railway wagon axle.

Lecture 23 Design for Testability (DFT): Full-Scan

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright.

The Transition to Patterned Media in Hard Disk Drives

Wafer defects can t hide from

ABSTRACT 1 INTRODUCTION

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

Scan. This is a sample of the first 15 pages of the Scan chapter.

The Effect of Wire Length Minimization on Yield

ECG Demonstration Board

Spatial Light Modulators XY Series

A. To tell the time of the day 1. To build a mod-19 counter the number of. B. To tell how much time has elapsed flip-flops required is

Technology Scaling Issues of an I DDQ Built-In Current Sensor

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation

Lecture 10: Sequential Circuits

Permutations of the Octagon: An Aesthetic-Mathematical Dialectic

Introduction to CMOS VLSI Design (E158) Lab 3: Datapath and Zipper Assembly

Antenna system Status & progress report

Slack Redistribution for Graceful Degradation Under Voltage Overscaling

At-speed testing made easy

Performance Modeling and Noise Reduction in VLSI Packaging

Alien Technology Corporation White Paper. Fluidic Self Assembly. October 1999

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

Designing for High Speed-Performance in CPLDs and FPGAs

Dual Slope ADC Design from Power, Speed and Area Perspectives

OMS Based LUT Optimization

Module 8 : Numerical Relaying I : Fundamentals

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

Transcription:

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1

Outline Introduction & DPT goals Decomposition and coloring Design OPC & Verification Wafer results Conclusions 2

Outline Introduction & DPT goals Decomposition and coloring Design OPC & Verification Wafer results Conclusions 3

DPT Styles Line-end cutting DPT H. Haffner, 2008 Sematech Litho Forum Litho-etch-litho-etch Litho-freeze-litho-etch M. Maenhoudt, 2008 Sematech Litho Forum K. Lucas, SPIE 2008 Spacer DPT (self-aligned DPT) Inoue-san, 2008 Sematech Litho Forum 4

Product goals for DPT High density, performance and yield; reasonable cost and speed; low risk. Design rule values reduced 30% Low design effort overhead automated layout creation, low rework Fast to develop and deploy Fully verifiable before patterning silicon Integrates with other process/device modules Large process margin Good overlay margin Symmetric patterns uniform mask density 5

Outline Introduction & DPT goals Decomposition and coloring Design OPC & Verification Wafer results Conclusions 6

Benefits of cost-model decomposition - with user defined constraints Basic decomposition Cost model-based decomposition SPIE Vol. 6521, 2007, paper 1K Unnecessary splitting No split required 7

Coloring is a global problem potentially infinite range of influence 8 L. Barnes, et. al. Bacus 2009

Convert polygon coloring to graph coloring A DPT coloring tool looks at polygons as nodes to be colored in a network. Converts a layout coloring problem into a graph coloring problem to simplify computational solution. Connected nodes need to be assigned opposite colors (if a solution exists, e.g., no DPT coloring compliance error). Layout polygons Graph representation 9

Distributing by network Straightforward to distribute if many small networks But, what about huge networks? 10 L. Barnes, et. al. Bacus 2009

Network of connected nodes to color 11 L. Barnes, et. al. Bacus 2009

Pruning 12 L. Barnes, et. al. Bacus 2009

Color global networks 13 L. Barnes, et. al. Bacus 2009

Color pruned nodes 14 L. Barnes, et. al. Bacus 2009

Pruning w/ grafting 15 L. Barnes, et. al. Bacus 2009

Color global networks and grafts grafts 16 L. Barnes, et. al. Bacus 2009

Color pruned and grafted nodes 17 L. Barnes, et. al. Bacus 2009

Pre-coloring of memory arrays For coloring speed, for predetermined cutting/symmetry, enables incremental fixes - removes these nodes from the global network 18 L. Barnes, et. al. Bacus 2009

How well does distribution work? 1 / TAT (hrs) # CPUs Good scalability seen up to 60-100 cpus 19 L. Barnes, et. al. Bacus 2009

Triple Patterning tests: Penrose Tiling 3-colored Rhombus Tiling Penrose Tiling was proposed by the British Mathematician Roger Penrose in 1970. A pattern is created using a limited number of tiles, yet completely covers a surface with an infinitely repeatable pattern showing no translation symmetry. Rhombus Penrose tiling has 5-fold symmetry and has been shown to be 3-colorable.[1] It is also believed to underlie some examples of 800 year old Islamic art, such as this example from the Seljuk Mama Hatun Mosque in Tercan, Turkey. [1] Rhombic Penrose Tilings can be 3-Colored, Sibley and Wagon The American Mathematical Monthly Vol 107 No. 3 (Mar 2000) pp251-253 Penrose tiling overlaid on 800 year old Islamic decoration 20 C. Cork, et. al. PMJ 2008

Triple patterning for 16nm contacts Allowing odd cycles can give significant pattern density advantages for contacts. Certain layout restrictions allow only three mask layers need to be used. 3-coloring is an NP-complete problem. Limits applicability by network size. Penrose Rhombic Tiling showing Multiple odd cycle loops. Requires only 3 colors for compliance. 21 C. Cork, et. al. PMJ 2008

Outline Introduction & DPT goals Decomposition and coloring Design OPC & Verification Wafer results Conclusions 22

DPT for Memory Tests Metal 1 Memory Array Memory Array Row Decoder Column Circuitry Column Decoder This layout is currently manufacturable with single patterning. Array shows multiple odd-cycles all at about minimum design space. Can double patterning be used to shrink circuit area without significant manual redesign effort? 23 B-S. Seo, et. al. PMJ 2009

Design Rule Guidance memory blocks Memory Array Design compliant for global scaling Effective Scaling NxN 24 B-S. Seo, et. al. PMJ 2009

Design Rule Guidance periphery Row Decoder Min space x >> Min space y Effective Scaling: 1xN 25 B-S. Seo, et. al. PMJ 2009

Design Rule Guidance periphery Min space x << Min space y Effective Scaling: Nx1 Column Circuitry 26 B-S. Seo, et. al. PMJ 2009

Design Rule Guidance periphery Column Decoder Non critical layout no need to decompose Effective Scaling 1x1 27 B-S. Seo, et. al. PMJ 2009

Short range DPT Compliance errors Type A: T-Shaped Space Can be identified by a vertex having neighbors with small space in both X & Y directions Analogous coloring conflicts existed in layouts for alternating phase shift masks Type B: U-Bend Short Range Feature bends back upon itself Here the feature causes color conflict with itself as there is no room to split at the bottom of the U-shape. 28 C. Cork, VLSI-TSA 2009

Longer range DPT compliance issues Type B: U-Bend Long Range Feature bends back upon itself Here the feature causes color conflict because it allows for an odd # of small spaces between features inside the U. Type C: Jogged Feature Jog creates an odd cycle with neighbor. Close packing prevents splitting at the jog to resolve the odd cycle. 29 C. Cork, VLSI-TSA 2009

DRC Deck for DPT errors A A A B DRC Deck Finds 80-90% of M1 DPT errors. It runs 10 100 times faster than DPT. It can run on design database formats Classifies DPT errors by type. C Undetected odd cycle 30

Outline Introduction & DPT goals Decomposition and coloring Design OPC & Verification Wafer results Conclusions 31

DPT Verification: Overlap Pinches Typically from corner rounding and line-end pullback at DPT cut location. Worst overlay direction hard to estimate from design intent 32 G. Luk-Pat, et. al. Bacus 2008

DPT Pinching: Electrical Impact For 40nm nominal line, current density increases 2.8x with CD=30nm and 4.5x with CD=20nm No pinch CD=40nm Pinching risk at overlap, max overlay error DPT layer 1 DPT layer 2 CD=30nm at pinch CD=20nm at pinch 33 C. Cork, VLSI-TSA 2009

OPC for DPT Traditional Pinching resistant Reference Line Mask 1 Mask 2 Misalignment aware Mask 1 Mask 2 DPT OPC Retargeting 34 X. Li, et. al. SPIE 2009

Outline Introduction & DPT goals Decomposition and coloring Design OPC & Verification Wafer results Conclusions 35

Wafer results 22nm DPT process Metal1 wafer patterns look good Split Mask Image Post OPC Wafer Image 36

Wafer results DPT splits & overlaps Small overlaps and tight spaces resolve well Split Mask Image Post OPC Wafer Image 37

Wafer results DPT conflict impact Wafer results look good except at coloring conflicts Split Mask Image Post OPC Wafer Image 38

Wafer results DPT conflict impact Process margin can be small near min allowed space 17 mj Double patterning effects can create sharp failure transitions 18 mj 39

Conclusions LELE DPT is a likely RET at 22nm & below Intelligent decomposition & coloring benefits product goals Accurate, fast full chip coloring is difficult but possible Design for DPT compliance essential for optimal density DRC for DPT is useful but not a complete solution DPT-aware OPC makes aggressive shrinks more manufacturable. DPT-aware verification is a requirement. Wafer process results show good promise overall 40