Design & Simulation of 128x Interpolator Filter

Similar documents
DDC and DUC Filters in SDR platforms

International Journal of Engineering Research-Online A Peer Reviewed International Journal

An Improved Recursive and Non-recursive Comb Filter for DSP Applications

Multirate Digital Signal Processing

Design and VLSI Implementation of Oversampling Sigma Delta Digital to Analog Convertor Used For Hearing Aid Application

Design on CIC interpolator in Model Simulator

OPTIMIZED DIGITAL FILTER ARCHITECTURES FOR MULTI-STANDARD RF TRANSCEIVERS

Performance Analysis and Behaviour of Cascaded Integrator Comb Filters

FPGA Implementation of Optimized Decimation Filter for Wireless Communication Receivers

A review on the design and improvement techniques of comb filters

Effect of Compensation and Arbitrary Sampling in interpolators for Different Wireless Standards on FPGA Platform

Suverna Sengar 1, Partha Pratim Bhattacharya 2

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

An Enhancement of Decimation Process using Fast Cascaded Integrator Comb (CIC) Filter

Area-Efficient Decimation Filter with 50/60 Hz Power-Line Noise Suppression for ΔΣ A/D Converters

Research Results in Mixed Signal IC Design

FPGA Realization of Farrow Structure for Sampling Rate Change

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation

DIRECT DIGITAL SYNTHESIS AND SPUR REDUCTION USING METHOD OF DITHERING

DESIGN OF INTERPOLATION FILTER FOR WIDEBAND COMMUNICATION SYSTEM

Politecnico di Torino HIGH SPEED AND HIGH PRECISION ANALOG TO DIGITAL CONVERTER. Professor : Del Corso Mahshid Hooshmand ID Student Number:

Digital Correction for Multibit D/A Converters

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

Radar Signal Processing Final Report Spring Semester 2017

Design of Low Power Efficient Viterbi Decoder

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

LogiCORE IP CIC Compiler v2.0

LUT Optimization for Memory Based Computation using Modified OMS Technique

Inside Digital Design Accompany Lab Manual

Introduction to Data Conversion and Processing

Design and FPGA Implementation of 100Gbit/s Scrambler Architectures for OTN Protocol Chethan Kumar M 1, Praveen Kumar Y G 2, Dr. M. Z. Kurian 3.

Memory efficient Distributed architecture LUT Design using Unified Architecture

Optimization of memory based multiplication for LUT

A Parallel Area Delay Efficient Interpolation Filter Architecture

Dynamically Reconfigurable FIR Filter Architectures with Fast Reconfiguration

A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame

ECE438 - Laboratory 4: Sampling and Reconstruction of Continuous-Time Signals

Calibrate, Characterize and Emulate Systems Using RFXpress in AWG Series

FPGA Implementation of DA Algritm for Fir Filter

L11/12: Reconfigurable Logic Architectures

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

FPGA Digital Signal Processing. Derek Kozel July 15, 2017

Guidance For Scrambling Data Signals For EMC Compliance

A Novel Macroblock-Level Filtering Upsampling Architecture for H.264/AVC Scalable Extension

A Fast Constant Coefficient Multiplier for the XC6200

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

High Performance Real-Time Software Asynchronous Sample Rate Converter Kernel

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

IP-DDC4i. Four Independent Channels Digital Down Conversion Core for FPGA FEATURES. Description APPLICATIONS HARDWARE SUPPORT DELIVERABLES

Serial FIR Filter. A Brief Study in DSP. ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 GEORGE MASON UNIVERSITY.

Delta-Sigma Modulators

Design of Memory Based Implementation Using LUT Multiplier

Implementation of Low Power and Area Efficient Carry Select Adder

Distributed Arithmetic Unit Design for Fir Filter

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

L12: Reconfigurable Logic Architectures

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

FPGA DESIGN OF CLUTTER GENERATOR FOR RADAR TESTING

Sensor Development for the imote2 Smart Sensor Platform

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

A MISSILE INSTRUMENTATION ENCODER

FPGA Hardware Resource Specific Optimal Design for FIR Filters

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Design of Multistage Decimation Filters Using Cyclotomic Polynomials: Optimization and Design Issues Massimiliano Laddomada, Member, IEEE

CHAPTER 3 SEPARATION OF CONDUCTED EMI

A Compact and Fast FPGA Based Implementation of Encoding and Decoding Algorithm Using Reed Solomon Codes

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System

Dac3 White Paper. These Dac3 goals where to be achieved through the application and use of optimum solutions for:

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Faculty of Electrical & Electronics Engineering BEE3233 Electronics System Design. Laboratory 3: Finite State Machine (FSM)

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Intro to DSP: Sampling. with GNU Radio Jeff Long

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

Figure 1: Feature Vector Sequence Generator block diagram.

Journal of Theoretical and Applied Information Technology 20 th July Vol. 65 No JATIT & LLS. All rights reserved.

SDR Implementation of Convolutional Encoder and Viterbi Decoder

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

Dual Channel, 8x Oversampling DIGITAL FILTER

Understanding Sampling rate vs Data rate. Decimation (DDC) and Interpolation (DUC) Concepts

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS

VXI RF Measurement Analyzer

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

Modified128 bit CSLA For Effective Area and Speed

Multirate Signal Processing: Graphical Representation & Comparison of Decimation & Interpolation Identities using MATLAB

Efficient Parallelization of Polyphase Arbitrary Resampling FIR Filters for High-Speed Applications

AbhijeetKhandale. H R Bhagyalakshmi

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Digital Signal. Continuous. Continuous. amplitude. amplitude. Discrete-time Signal. Analog Signal. Discrete. Continuous. time. time.

Experiment 13 Sampling and reconstruction

VLSI IEEE Projects Titles LeMeniz Infotech

Memory Efficient VLSI Architecture for QCIF to VGA Resolution Conversion

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Efficient implementation of a spectrum scanner on a software-defined radio platform

PCM ENCODING PREPARATION... 2 PCM the PCM ENCODER module... 4

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

Transcription:

Design & Simulation of 128x Interpolator Filter Rahul Sinha 1, Sonika 2 1 Dept. of Electronics & Telecommunication, CSIT, DURG, CG, INDIA rsinha.vlsieng@gmail.com 2 Dept. of Information Technology, CSIT, DURG, CG, INDIA, sonika444@gmail.com Abstract. This paper presents the design consideration and simulation of interpolator of OSR 128. The proposed structure uses the half band filers & Comb/Sinc filter. Experimental result shows that proposed interpolator achieves the design specification, and also has good noise rejection capabilities. The interpolator accepts the input at 44.1 khz for applications like CD & DVD audio. The interpolation filter can be applied to the delta sigma DAC. The related work is done with the MATLAB & XILINX ISE simulators. The maximum operating frequency is achieved as 34.584 MHz. Keywords. Interpolator; OSR; Halfband filter; Comb Filter 1. Introduction The development of multimedia systems has increased the demand for an audio digital-to-analog converter (DAC) and the demand for low-cost, wide dynamic range and high linearity of a DAC. Because of its inherent benefit Delta-sigma modulation (DSM) is the most suitable DAC topology to satisfy these requirements. DSM can reduce the bits of input digital signal at the cost of increasing sample rate and a great many of digital circuits, but the area and the complexity of the analog part can be greatly reduced and the implement of digital circuit is very convenient by using 1

developed digital signal process and CMOS technique. Therefore the cost of a DAC can be decreased and that s why the topology of DSM is popular now [2], [5]. A general block diagram for a sigma-delta D/A converter is depicted in Figure 1. It consists of four functionally different parts [1]. In the first phase, the sampling rate of the input discrete-time signal is increased using an interpolator filter. In the second phase, the noise shaper converts an n-bit input data stream into a 1-bit data stream. This data stream is converted in the third phase into an analog signal using a 1-bit D/A converter. The role of the noise sharper is to keep the noise generated by the quantization as low as possible in the baseband by shaping and moving this noise out of the baseband. The final phase consists of removing the out-of-band noise using an analog low pass filter. Digital Input Analog Output Interpolation Filter Sigma Delta Modulator 1 bit DAC Figure 1 Block Diagram of Sigma Delta DAC Figure 1 shows the system architecture of the audio DAC. It consists of three blocks, namely, the interpolator, the sigma-delta modulator and the 1-bit DAC. The audio DAC accepts PCM input data at sampling rates of 44.1 khz. The interpolation ratio of the interpolator can be configured to 128x. For 44.1 khz input signals, the interpolator gives the output data rate of 5.6448 MHz by setting the interpolation ratio as 128x. In this paper, we present an interpolator structure with its implementation in MATLAB. The Synthesis part is done for XILINX SPARTAN 6 chip. The paper is structured as follows: Section 2 describes the basic principle of operation of Multistage Interpolation. The architecture of the implemented Interpolator is discussed in Section 3. Section 4 deals with experimental results of an 2

implemented prototype are shown. Finally, we end up with some conclusions & future scope in Section 5. 2. Interpolator Architecture The function of interpolation filter is to raise the sampling frequency to oversampling rate (OSR * f s ) and to suppress the spectral replicas centered at f s, 2f s,, (OSR-1) f s. Due to the high sampling rate, the pass-band and transition band are extremely narrow compared to the Nyquist bandwidth of the output signal, which means a single stage FIR filter to achieve 128x OSR has to be of exceedingly high order, so a multi-stage structure is preferred to reduce the computation complexity. Hhb(z) 2 Hhb(z) 2 Comb Filter 32 Figure 2 Interpolation Filter The architecture of the 128x interpolation filter is shown in Figure 2. It is a multistage filter. The first two half-band filters increase the sampling rate of the signal by four times. The last stage is comb filter to provide 32 times sampling rate for input signals leading to overall interpolation ratio as 128x. 2.1. Half Band Filter Half band filters can be used for signal oversampling by 2 (x2). The resulting signal contains the original samples and the interpolated point between the original samples is the original samples filtered by the non-zero coefficients. Linear-phase FIR half-band filters have found several applications in the past. For instance, in the design of sharp cutoff FIR filters, a multistage design based on half-band filters is very efficient. The efficiency of half-band filters derives from the fact that about 5 percent of the filter coefficients are zero, thus, cutting 3

down the implementation cost. Half-cost filters have also been used in multirate filter bank applications, either directly or indirectly [4]. Let H(z) denote the transfer function of a (linear-phase, FIR) half-band filter of order N-1. Figure 3 Typical amplitude Response of Half Band Filter 2.2. Comb/Sinc Filter A comb filter provides the remaining factor of 32 increase in the sampling rate. The advantage of comb filter is their simple structure, which does not require any multiplier or coefficient storage, as compared with traditional FIR filters [3]. Cascaded integrator-comb (CIC) digital filters are computationally efficient implementations of narrowband lowpass filters and are often embedded in hardware implementations of decimation and interpolation in modern communications systems. The comb filter has the transfer function of Where R = decimation or interpolation ratio, M= number of samples per stage, N = number of sections in filter. The CIC filter's difference equation is: 4

Usually a SincL+1 filter are used to filter out the quantization noise of an Lth order modulator. A CIC interpolator would be N cascaded comb stages running at fs/r, followed by a zero-stuffer, followed by N cascaded integrator stages running at fs, where N represents the No. of sections (No. of Integrator Sections = No. of Integrator Section) [6]. Figure 4 Comb Filter Structure having three sections 3. Implementation Figure 5 Flow Diagram of our work 5

Magnitude (db) International Journal of Computer Engineering Science (IJCES) In the implementation of the multistage interpolation we chose the specification as per Table I. Designing of the first & second stage Half Band filter is done with the mfilt of MATLAB. Similarly the CIC filter of the OSR 32 is achieved with the mfilt command. The three filters is then cascaded and the relevant VHDL code is generated with the generatehdl (HDL Coder) in MATLAB. The VHDL code is exported to the Xilinx ISE 13.1 where the code is synthesized to get the hardware requirement for the proposed 128x interpolator. Stage Type of filter Table I Specification of Sub Blocks OSR Sampling Frequency / khz Stop-band attenuation / db 1 Half-band 2 44.1 8 2 Half-band 2 88.2 8 3 Comb/ Sinc 32 5,644.8 65 4. Experimental Results The complete design has been prepared with MATLAB & Xilinx ISE 13.1. The Coefficients generation of each filter is generated using the MATLAB and then the VHDL code is generated with the help of HDL Coder. Generated VHDL code is synthesized for the XILINX SPARTAN6. Magnitude Response (db) -2-4 -6-8 -1.1.2.3.4.5.6.7.8.9 Normalized Frequency ( rad/sample) Figure 6 Magnitude Response of Halfband Filter 6

Magnitude (db) Amplitude Amplitude Amplitude International Journal of Computer Engineering Science (IJCES) Figure 6 shows the Magnitude response for the first & second stage of the Interpolator. Figure 7 depicts the Signals at input of the HBF1 & at the output of HBF1 & HB2. 1 Input Signal to the Interpolator -1.1.2.3.4.5 Time.6.7.8.9 1 x 1-3 Filtered Signal after First Half Band Filter (HBF1) 1-1.1.2.3.4.5 Time.6.7.8.9 1 x 1-3 Filtered Signal after Second Half Band Filter (HBF2) 1-1.1.2.3.4.5 Time.6.7.8.9 1 x 1-3 Figure 7 Signals at the input of Interpolator & at the output of HB1 & HB2 16 Magnitude Response (db) 14 12 1 Filter #1: Quantized Filter #1: Reference 8 6 4 2-2.1.2.3.4.5.6.7.8.9 Normalized Frequency ( rad/sample) Figure 8 Magnitude Response of Comb/Sinc Filter 7

Magnitude (db) International Journal of Computer Engineering Science (IJCES) Magnitude Response (db) 15 1 Filter #1: Quantized Filter #1: Reference 5-5.1.2.3.4.5.6.7.8.9 Normalized Frequency ( rad/sample) Figure 9 Magnitude Response of Interpolator 1.5 x 16 1.5 -.5-1 -1.5.1.2.3.4.5.6.7.8.9 1 x 1-3 Figure 1 Signals at the output of Interpolator The designed interpolator is implemented for XILINX VERTEX 7, device XC7VX33T, package FFG1157 with speed grade -3. Table II shows the implementation details of our design. Each row of the table shows the hardware cost of each stage of Interpolator as per specification mentioned in Table 1. The last row shows the hardware implementation cost of the interpolator. The maximum achievable frequency of operation for the interpolator is 34.584 MHz. 8

Table II Synthesis Result of Blocks for XILINX VERTEX 7 Design Cascade Design of HBF1, HBF2 & Sinc Filter No. of Slices Register 137 out of 48 No. of Slice LUTs 384 out of 24 No. of Bonded IOBs 4 out of 6 Max. Clock Frequency 34.584 MHz No. of Multiplier 48 No. of Adder 61 No. of Register 63 No. of Multiplexer 8 Figure 11 RTL view of Interpolator (XILINX VIRTEX 7) 9

5. Conclusion & Future Scope A method to construct the interpolation filter of Sigma Delta Audio DAC is presented in this paper. Cascade halfband filter and a Comb/Sinc filter comprise the interpolation filter. Multirate filtering & HDL Coder feature of the MATLAB is utilized to achieve the design. The optimization can be performed so that the overall interpolator contains no general multipliers. This is achieved by using hardware efficient FIR filters in a tapped cascaded interconnection of identical sub-filters, which requires no multipliers. Further area optimization is achieved by the multiplier less CSD encoding. References 1. Cheung Ray, Pun KP, Yuen SCL, Tsoi KH, Leong PHW, An FPGA based Reconfigurable 24-bit 96kHz Sigma-Delta Audio DAC, Hong Kong, 23 2. Li J., Wu X.B., Zhao J.C., An Improved Area Efficient Design Method for Interpolation Filter of Sigma-Delta Audio DAC, IEEE, 21 3. Yunfeng P., Kong D., Feng Z., Design and Implementation of a Novel Area Efficient Interpolator, Chinese Journal of Semiconductors, Vol. 27 No. 7, CHINA, July 26 4. Vaidyanathan P.P., Nguyen T.Q., A Trick for the Design of FIR Half Band Filters, IEEE Transcations on circuits and systems, Vol. CAS -34, No. 3, March 1987 5. Yang W.R., Cheng Y.Y., Wang J.M., Simulation of Multi bit Digital Delta Sigma Modulator, ICEPT-HDP, IEEE, CHINA, 28 6. Donadio M. P., CIC Filter Introduction, Iowegian, July 2 7. Mathworks.com 8. XILINX.com 9. Pedroni V.A., Circuit Design with VHDL, MIT Press, Cambridge, London, England, 24 1