A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression

Similar documents
Low Power Estimation on Test Compression Technique for SoC based Design

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Optimal Selective Count Compatible Runlength Encoding for SOC Test Data Compression

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Test Data Compression for System-on-a-Chip Using Golomb Codes 1

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

A New Low Energy BIST Using A Statistical Code

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Synchronization Overhead in SOC Compressed Test

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

926 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY /$ IEEE

Survey of Test Vector Compression Techniques

Deterministic BIST Based on a Reconfigurable Interconnection Network

Controlling Peak Power During Scan Testing

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

HIGHER circuit densities and ever-increasing design

Survey of low power testing of VLSI circuits

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Fault Detection And Correction Using MLD For Memory Applications

SIC Vector Generation Using Test per Clock and Test per Scan

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

A LOW COMPLEXITY CODE COMPRESSION BASED ON HYBRID RLC-BM CODES

VLSI IMPLEMENTATION OF SINGLE CYCLE ACCESS STRUCTURE FOR LOGIC TEST IN FPGA TECHNOLOGY

A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame

Color Image Compression Using Colorization Based On Coding Technique

OMS Based LUT Optimization

An Efficient Reduction of Area in Multistandard Transform Core

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

Implementation of Memory Based Multiplication Using Micro wind Software

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

I. INTRODUCTION. S Ramkumar. D Punitha

University of Bristol - Explore Bristol Research. Peer reviewed version. Link to published version (if available): /ISCAS.2005.

Design of Fault Coverage Test Pattern Generator Using LFSR

Weighted Random and Transition Density Patterns For Scan-BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Advanced Data Structures and Algorithms

Power Problems in VLSI Circuit Testing

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Power Optimization by Using Multi-Bit Flip-Flops

ALONG with the progressive device scaling, semiconductor

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Low Power Area Efficient Parallel Counter Architecture

Design of BIST with Low Power Test Pattern Generator

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

VLSI System Testing. BIST Motivation

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

Efficient Test Pattern Generation Scheme with modified seed circuit.

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Transactions Brief. Circular BIST With State Skipping

Design of Memory Based Implementation Using LUT Multiplier

An Lut Adaptive Filter Using DA

An MFA Binary Counter for Low Power Application

ISSN:

Lossless Compression Algorithms for Direct- Write Lithography Systems

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Optimization of memory based multiplication for LUT

DWT Based-Video Compression Using (4SS) Matching Algorithm

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Fast MBAFF/PAFF Motion Estimation and Mode Decision Scheme for H.264

Optimization and Power Reduction of Built-In Repair Analyzer for Memories

Design and Implementation of LUT Optimization DSP Techniques

An Introduction to Image Compression

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Speeding up Dirac s Entropy Coder

A Parallel Area Delay Efficient Interpolation Filter Architecture

Memory efficient Distributed architecture LUT Design using Unified Architecture

A VLSI Architecture for Variable Block Size Video Motion Estimation

ISSN (Print) Original Research Article. Coimbatore, Tamil Nadu, India

FRAME RATE BLOCK SELECTION APPROACH BASED DIGITAL WATER MARKING FOR EFFICIENT VIDEO AUTHENTICATION USING NETWORK CONDITIONS

A Novel Bus Encoding Technique for Low Power VLSI

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

1. INTRODUCTION. Index Terms Video Transcoding, Video Streaming, Frame skipping, Interpolation frame, Decoder, Encoder.

Research Article. ISSN (Print) *Corresponding author Shireen Fathima

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING

Layout Decompression Chip for Maskless Lithography

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

DELTA MODULATION AND DPCM CODING OF COLOR SIGNALS

A New Compression Scheme for Color-Quantized Images

Adaptive Key Frame Selection for Efficient Video Coding

An Efficient Low Bit-Rate Video-Coding Algorithm Focusing on Moving Regions

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Implementation of Scan Insertion and Compression for 28nm design Technology

Transcription:

World Applied Sciences Journal 32 (11): 2229-2233, 2014 ISSN 1818-4952 IDOSI Publications, 2014 DOI: 10.5829/idosi.wasj.2014.32.11.1325 A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression 1 2 C. Kalamani and K. Paramasivam 1 Department of ECE, Dr. Mahalingam College of Engineering and Technology Coimbatore, Tamil Nadu, India 2 Department of ECE, KSR College of Engineering, Erode, Tamil Nadu, India Abstract: Higher Circuit Densities in system-on-chip (SOC) designs and increase in design complexity have led to drastic increase in test volume. This results in long test application time and high tester memory requirement. Test Data Compression/Decompression addresses this problem by reducing the test volume without affecting the overall system performance. This paper proposes a test compression scheme that combines the advantages of compatible block coding followed by simple run lengthcoding techniques to address the large test volume of Automatic Test Equipment. This test compression technique significantly reduces memory requirements. The algorithm is applied on various benchmark circuits and compared results with existing test compression/decompression techniques. The experimental evaluation revealed that the proposed method achieves on an average, a compression ratio of 70%. The proposed approach, improves the compression efficiency without introducing any additional decompression penalty. Experimental results demonstrate that this approach produces up to 30% better compression compared to existing methods. Key words: SoCs (System on chip) VLSI (Very large scale integration) Finite State Machine (FSM) INTRODUCTION Test compression provides two benefits. First, it reduces the amount of stored on the tester, which The complexity of VLSI continues to grow, more can extend the life of older testers that have limited number of transistors are integrated on a single chip and memory. Second is the most important benefit, which test volume has drastically increased. The testing applies even for testers with plenty of memory.it can cost and testing power are the two major issues in the reduce the test time for a given test bandwidth. Test current generation integrated chip testing. Testing cost is vectors are highly compressible because only 1% to 5% related to test volume and test transfer time. of their bits are specified (care) bits. Test Larger volume demands not only higher memory compression fall broadly into three categories. They are requirements but also increase in testing time. Test coding scheme, linear decompression scheme and compression addresses this problem by reducing the broadcast- scan scheme [1]. Code compression test volume without affecting the overall system techniques are popular because they offer both good performance. Test compression involves adding compression ratio and fast decompression scheme. some additional on-chip hardware before and after the Code based test Compression has an advantage in scan chains. This additional hardware decompresses the generating difference, reordering patterns and it achieves test stimulus coming from the tester; it is also compacting high compression. Many coding scheme has been the response after the scan chains and before it goes to proposed for code based scheme [2]. The Huffman code the tester. This permits storing the test in a is proved to be an optical statistical code. However, it compressed form on the tester. It is also easier to adopt in requires an exponentially large decoder. A few variant of industry because it is compatible with the conventional Huffman coding exist, such as selective Huffman coding design rules and test generation flows for scan testing. (SHC), which splits test vector into fixed pattern and Corresponding Author: C. Kalamani, Department of ECE, Dr. Mahalingam College of Engineering and Technology Coimbatore, Tamil Nadu, India. E-mail: kalamec@yahoo.co.in. 2229

applied a huffman coding for the selected number of basic idea of block coding method is compatibility or patterns but occupies a large area overhead.optimal inversely compatibility and the blocks are combined selective Huffman coding (OSHC) further reduces the into one group, binary code is used to express the test.variable length input Huffman coding (VIHC) which are compatible or inversely compatible with comprises a mapping, reordering and Huffman encoded reference, by this way test is compressed [9]. [3]. The run-length based compression method encodes Two patterns are recognized as compatible if every bit pair a repeated runs. Different types of run length coding at the same position has the same value or any of them are techniques are frequency directed run length code (FDR) don t care bit or Two patterns are recognized as inversely consists of a prefix and a tail with same size and requires compatible if every bit pair at the same position has the complicated decoder and inefficient for long run s of 1 s inverse value or any of them are don t care bit. The [4], Extended frequency directed run length code (EFDR) compatible block encoding is explained with an example takes advantage of both runs of 0 s and runs of 1 s and below. outperformed the other coding techniques that are based on only runs of 0 s [5] took advantage of both runs of 0 s For Example: Test 01xx0x11x1xx can be divided into and runs of 1 s and outperformed the other coding three sub-segments such as 01xx, x1xx, 0x11.The sub techniques that are based only on runs of 0 s. A segment 01xx is taken as reference test and last 2-sub combined run length and Huffman coding for scan testing segments will be found compatible with the first sub is to reduce the test volume [6]. Multidimensional segment. In this case, the last 2 sub segments can be pattern run length (MD-PRC) considers multiple pattern coded 001, the first bit 0 means last 2 sub segments and information for compressing variable length pattern runs reference is compatible ( 1 for inverse compatible), [7]. Block merging technique records merged blocks and 01 represent the quantity of compatible block. number of merged block to achieve higher test Table 1 shows the relationship of uncompressed and compression ratio and less test application time with compressed [10]. In Table 1, sign 0 represents that higher area overhead [8]. Block merging and eight coding blocks are compatible, sign 1 represents that is encoding based number of merged blocks form eight blocks are inversely compatible. code word [9]. The rest of the paper is organized as follows. Section 2 describes proposed methods of test Step 2. Run Length Coding: Simple Run length coding is compression and decompression mechanism. Section applied to get better compression ratio in the second step. 3 presents the experimental results. Finally, section 4 RL coding is simple and more efficient, if the uses concludes the paper. only two symbols (for example 0 and 1) in its bit pattern and one symbol is more frequent than the other. Run Proposed Methods: The proposed method combines length codingreplaces consecutive repeating occurrence compatible block coding technique and run length coding of a symbol, by one occurrence of a symbol followed by for reducing the test volume during test operation. the number of occurrence [6]. The Run Length based In the compression stage, the first step is blocks coding coding schemes have been very effective for the test and the second step is run length coding. These compression in case of current generation SOCs (System techniques take advantage of existing large number of on chip) with a large number of IP(Intellectual property) don t care bit in test which assigned values of 0 or 1 cores. In the proposed method, the original is block according to the need. After assigned values test coded followed by Run Length encoded as below. blocks have relationship of compatible and inversely compatible. Based on the compatibility or inversely The steps used in encoding are as follows. compatible, form a group and replace consecutive repeating occurrence of a symbol by one occurrence of a Given parameter k(block size) and m(binary code), the symbol followed by the number of occurrence. The original is divided into blocks of length of the resultant is a compressed. block. From the initial position in order to choose the length Step 1: Block Coding Techniques: There exists a large of k blocks as reference block, then s=2 number of don t care bit in the test which are block and check the reference block is assigned with values of 0 s or 1 s according to the need. compatible(inversely compatible)then add 1 behind After the assigned values the test blocks have a the reference block and according to the Table relationship of compatible or inversely compatible. The 1 jump to step 4(6) or else jump to the step 3. m 2230

Table 1: Coding Scheme Data block no Signed bit Code word Signed bit Code word 1 0 001 1 000 2 0 010 1 010 3 0 011 1 011 4 0 100 1 100 5 0 101 1 101 6 0 110 1 110 7 0 111 1 111 8 0 000 1 000 Original Compression Algorithm Compressed Eliminate Unique Data 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0 1 1 1 1 1 1 0 1 0 0 0 0 0 1 1 1 1 1 1 1 1 Find Compatible and incompatible 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 0 1 1 1 1 1 Compressed Data Original Decoding of block code Run length decoded Fig. 1: Test compression/decompression methods If s>0, then s=s-1 and jump to step 2 or else jump to step 4. m If the followed j=2 block and reference block is inversely compatible, jump to step6 or else jump to step5. If j>0, then j=j-1 and jump to step 4 or else jump to step 6. Add the sign at the end 0, a reference block coding end. Find the runs of 0 s and 1 s, in the block coded to calculate the length of block size and frequency of occurrence. Replace consecutive repeating occurrence of a symbol by one occurrence of a symbol followed by the number of occurrence Finally find the compressed ratio. For example: Consider input after preprocessing 10100000 00011000 00000000 10100000 01011111 1 0 1 1 1 1 1 1 0 0 0 0 In the second stage of decompression the compressed is run length decoded followed by block decoded. Block decoder is Finite state machine decoder. The steps used in decoding are as follows. Compressed is an input to run length decoder. Initialize first bit as 1 and then add first bit to the previous bit. This is used to find the bit position change. Initialize the variable as 0. Find the length of the variable Decompression is performed based on the bit position change in the initialized variable. Run length decompressed are divided into blocks. Blocks of are divided into two rows. The first bit of the is analyzed for compatible or inversely compatible. If the bit is inversely compatible, the reference will be inverted else the bit is remains same. Finally obtain the original. RESULTS AND DISCUSSION In order to demonstrate the proposed scheme, compression and decompression modules are implemented using MATLAB-13 and experiments are carried out using large ISCAS 89 and ISCAS 85 benchmark Circuits. The compression ratio is defined in Eq.1 is used to evaluate the performance of the proposed scheme. 2231

Table 2: Compression Ratios Obtained By Proposed Method Compared With Various Existing Methods Compression Ratio (%) ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------- Circuits Golomb Codes [1] FDR Codes [2] VIHC [5] Compatible block Coding [6] Proposed Method C432 - - - - 68.96 C499 - - - - 69.51 C880 - - - - 69.06 C4315 - - - - 68.84 S5378 40.7 48.02 51.78 54.16 72.615 S9234 43.34 43.59 47.25 53.47 73.086 S38417 44.12 43.26 53.36 56.74 72.72 Average 42.62 44.95 50.79 54.79 72.80 CONCLUSION Fig. 2: Comparison Of Compression Ratio Of Proposed Method With Various Existing Method Large volume is the most important issues in testing a VLSI circuit. Code compression technique offers an efficient solution for this issue. The compatible block coding can encode the compatible and inversely compatible test blocks followed by run length technique reduce the large volume, produces a better compression ratio. The proposed algorithm is applied on four ISCAS 85 and three ISCAS89 benchmarks and compared with the results of the existing test compression technique. The result demonstrates that the proposed technique obtained an average compression ratio of 70%. The original is reconstructed without any loss of. REFERENCES Compression Ratio = 1 [compressed original 1. Touba Nur A., 2006. Survey of test vector daa] * 100 compression techniques., IEEE Des Test Comput., (1) 23(4): 294-304. 2 Ruan, X. and R. Katti, 2006. An efficient The compression ratio of proposed methods and -independent technique for compressing test various existing methods circuits are shown in the vectors in systems-on-a-chip, in Proc. ISVLSI, Table 2. The proposed methods shows better pp: 153-158. compression than other methods because of combining 3. Gonciari, P.T., 2003. Variable length input block coding and run length coding techniques. The Huffman coding for system-on-a-chip test, IEEE compatible block coded consists the consecutive Trans. Comput. Aided Des. Integr. Circuits Syst., occurrence of 1 s or 0 s is reduced by applying simple run 22(6): 783-796. length encoding.this presents increase compression ratio 4. Chandra, A. and K. Chakrabarty, 2003. Test than compatible block code. Table 2 and Fig. 2. shows the compression and test resource partitioning for comparison of compression ratio of proposed method system-on-a-chip using frequency-directed run with existing methods. The average compression ratio is length (FDR) Codes, IEEE Trans. Comp., increased by 30.2,27.85,22.01,18.01% compared with 52: 1076-1088. existing methods in table2.the combined compatible block 5. El-malch, A.H., et al., 2008. Test compression coding and run length coding shows better compression for system-on-a-chip using extended frequencyratio. The decompression circuits are simple and easy to directed run-length (EFDR) code, IET Comput. Digit. implement and reproduce the original without loss. Tech., 2(3): 155-163. 2232

6. Nourani, M. and M. Tehranipour, 2005. RL-Huffman 10. Jin, Shang and Liyong Zhang, 2013. Test Data encoding for test compression and power reduction Compression Scheme Based On Compatible Data in scan application, ACM Trans. Des. Automat. Block Coding., Information Technology Journal, Electron. Syst., 10(1): 91-115. 12(1): 204-208, ISSN 1812-5638. 7. Lee, L.J., et al., 2009. A multi-dimensional pattern 00. Chandra, A. and K. Chakrabarty, 2001. System-on-arun-length method for test compression., in chip compression and decompression Proc. AsianTest Symp., pp: 111-116. architecture based on a Golomb codes., IEEE 8. El-malch, A.H., et al., 2008. Efficient test Trans. Comput Aided Des. Integr. Circuits Syst., compression technique based on block merging, 20(3): 355-368. IET Comput. Digital Tech., 2: 327-335. 9. Tie-bin Wu, et al., 2013. Eficient Test compression technique for soc based on block merging and eight coding, J. Electron Test, 29: 849-859. 2233