Design for Testability Part II

Similar documents
Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Design for Testability

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

K.T. Tim Cheng 07_dft, v Testability

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Chapter 8 Design for Testability

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Overview: Logic BIST

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

VLSI System Testing. BIST Motivation

Scan. This is a sample of the first 15 pages of the Scan chapter.

Testing Digital Systems II

Logic Design II (17.342) Spring Lecture Outline

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Controlling Peak Power During Scan Testing

Switching Circuits & Logic Design

Testing Sequential Circuits

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Partial BIST Insertion to Eliminate Data Correlation

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction


(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Simulation Mismatches Can Foul Up Test-Pattern Verification

Design of Fault Coverage Test Pattern Generator Using LFSR

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Lecture 7: Sequential Networks

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Experiment 8 Introduction to Latches and Flip-Flops and registers

Partial Scan Selection Based on Dynamic Reachability and Observability Information

VLSI Test Technology and Reliability (ET4076)

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

L5 Sequential Circuit Design

Efficient Path Delay Testing Using Scan Justification

Simulated Annealing for Target-Oriented Partial Scan

Combinational vs Sequential

Hardware Design I Chap. 5 Memory elements

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Testing Digital Systems II

VirtualSync: Timing Optimization by Synchronizing Logic Waves with Sequential and Combinational Components as Delay Units

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

Synchronous Sequential Logic

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Sequential Circuit Design: Principle


New Directions in Manufacturing Test

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

CHAPTER 11 LATCHES AND FLIP-FLOPS

Combinational / Sequential Logic

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

EECS 270 Group Homework 4 Due Friday. June half credit if turned in by June

Chapter 5 Synchronous Sequential Logic

Performance Driven Reliable Link Design for Network on Chips

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Chapter. Sequential Circuits

Decade Counters Mod-5 counter: Decade Counter:

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

Latches, Flip-Flops, and Registers. Dr. Ouiem Bchir

Sequential Logic. Introduction to Computer Yung-Yu Chuang

Unit 11. Latches and Flip-Flops

CHAPTER1: Digital Logic Circuits

COMP2611: Computer Organization. Introduction to Digital Logic

Full scan testing of handshake circuits. Frank J. te Beest

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

6.3 Sequential Circuits (plus a few Combinational)

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

ELCT201: DIGITAL LOGIC DESIGN

Using minterms, m-notation / decimal notation Sum = Cout = Using maxterms, M-notation Sum = Cout =

Retiming Sequential Circuits for Low Power

A Critical-Path-Aware Partial Gating Approach for Test Power Reduction

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

MC9211 Computer Organization

Transcription:

Design for Testability Part II 1

Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected flip-flops from scan: Improve performance Allow limited scan design rule violations Allow automation: In scan flip-flop selection In test generation Shorter scan sequences. 2

Partial-Scan Architecture PI PO Combinational circuit CK1 CK2 TC SCANIN FF FF SFF SFF SCANOUT 3

History of Partial-Scan Scan flip-flop selection from testability measures, Trischler et al., ITC-80; not too successful. Use of combinational ATPG: Agrawal et al., D&T, Apr. 88 Functional vectors for initial fault coverage Scan flip-flops selected by ATPG Gupta et al., IEEETC, Apr. 90 Balanced structure; may require high scan percentage Use of sequential ATPG: Cheng and Agrawal, IEEETC, Apr. 90; Kunzmann and Wunderlich, JETTA, May 90 Create cycle-free structure for efficient ATPG 4

Difficulties in Sequential ATPG Poor initializability. Poor controllability/observability of state variables. Gate count, number of flip-flops, and sequential depth do not explain the problem. Cycles are mainly responsible for complexity. 5

Benchmark Circuits Circuit PI PO FF Gates Structure Sequential depth Total faults Detected faults Potentially detected faults Untestable faults Abandoned faults Fault coverage (%) Fault efficiency (%) Max. sequence length Total test vectors Gentest CPU s (Sparc 2) s1196 14 14 18 529 Cycle-free 4 1242 1239 0 3 0 99.8 100.0 3 313 10 s1238 14 14 18 508 Cycle-free 4 1355 1283 0 72 0 94.7 100.0 3 308 15 s1488 8 19 6 653 Cyclic -- 1486 1384 2 26 76 93.1 94.8 24 525 19941 s1494 8 19 6 647 Cyclic -- 1506 1379 2 30 97 91.6 93.4 28 559 19183 6

Cycle-Free Example Circuit F2 2 F1 Level = 1 F2 F3 3 s - graph F1 F3 Level = 1 3 2 All faults are testable. d seq = 3 7

Relevant Results Theorem 1: A cycle-free circuit is always initializable. It is also initializable in the presence of any non-flipflop fault. Theorem 2: Any non-flip-flop fault in a cycle-free circuit can be detected by at most d seq + 1 vectors. ATPG complexity: To determine that a fault is untestable in a cyclic circuit, an ATPG program using 9-valued logic may have to analyze 9 Nff time-frames, where Nff is the number of flip-flops in the circuit. 8

A Partial-Scan Method Select a minimal set of flip-flops for scan to eliminate all cycles. Alternatively, to keep the overhead low only long cycles may be eliminated. In some circuits with a large number of self-loops, all cycles other than self-loops may be eliminated. 9

The MFVS Problem For a directed graph find a set of vertices with smallest cardinality such that the deletion of this vertex-set makes the graph acyclic. The minimum feedback vertex set (MFVS) problem is NP-complete; practical solutions use heuristics. A secondary objective of minimizing the depth of acyclic graph is useful. 10

3 1 2 4 5 6 A 6-flip-flop circuit 3 L=3 1 2 4 5 6 L=2 L=1 s-graph 11

Test Generation Scan and non-scan flip-flops are controlled from separate clock PIs: Normal mode Both clocks active Scan mode Only scan clock active Sequential ATPG model: Scan flip-flops replaced by PI and PO Sequential ATPG program used for test generation Scan register test sequence, 001100, of length n sff + 4 applied in the scan mode Each ATPG vector is preceded by a scan-in sequence to set scan flip-flop states A scan-out sequence is added at the end of each vector sequence Test length = (n ATPG + 2) n sff + n ATPG + 4 clocks 12

Partial vs. Full Scan: S5378 Original Partial-scan Full-scan Number of combinational gates Number of non-scan flip-flops (10 gates each) Number of scan flip-flops (14 gates each) Gate overhead Number of faults PI/PO for ATPG Fault coverage Fault efficiency CPU time on SUN Ultra II 200MHz processor Number of ATPG vectors Scan sequence length 2,781 179 0 0.0% 4,603 35/49 70.0% 70.9% 5,533 s 414 414 2,781 149 30 2.63% 4,603 65/79 93.7% 99.5% 727 s 1,117 34,691 2,781 0 179 15.66% 4,603 214/228 99.1% 100.0% 5 s 585 105,662 13

Flip-flop for Partial Scan Normal scan flip-flop (SFF) with multiplexer of the LSSD flip-flop is used. Scan flip-flops require a separate clock control: Either use a separate clock pin Or use an alternative design for a single clock pin D SD MUX Master latch Slave latch Q TC CK SFF (Scan flip-flop) TC CK Normal mode Scan mode 14

Summary: Partial Scan Partial-scan is a generalized scan method; scan can vary from 0 to 100%. Elimination of long cycles can improve testability via sequential ATPG. Elimination of all cycles and self-loops allows combinational ATPG. Partial-scan has lower overheads (area and delay) and reduced test length. Partial-scan allows limited violations of scan design rules, e.g., a flip-flop on a critical path may not be scanned. 15

Random Access Scan 16

Random-Access Scan (RAS) PI PO Combinational logic RAM CK TC SCANIN ADDRESS ACK Address decoder n ff bits SEL SCANOUT Address scan register log 2 n ff bits 17

RAS Flip-Flop (RAM Cell) From comb. logic SCANIN D SD Scan flip-flop (SFF) Q To comb. logic CK TC SEL SCANOUT 18

RAS Applications Logic test: reduced test length. Delay test: Easy to generate single-inputchange (SIC) delay tests. Advantage: RAS may be suitable for certain architecture, e.g., where memory is implemented as a RAM block. Disadvantages: Not suitable for random logic architecture High overhead gates added to SFF, address decoder, address register, extra pins and routing 19

Scan-Hold Flip-Flop 20

Scan-Hold Flip-Flop (SHFF) D SD TC CK HOLD SFF To SD of next SHFF Q Q The control input HOLD keeps the output steady at previous state of flip-flop. 21

Applications: Reduce power dissipation during scan Isolate asynchronous parts during scan test Delay testing 22