Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Similar documents
Based on slides/material by. Topic Testing. Logic Verification. Testing

Unit V Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Scan. This is a sample of the first 15 pages of the Scan chapter.

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Lecture 18 Design For Test (DFT)

Slide Set 14. Design for Testability

Testing Digital Systems II

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

VLSI Test Technology and Reliability (ET4076)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Design for Testability

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Overview: Logic BIST

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

VLSI System Testing. BIST Motivation

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Testing Sequential Circuits

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design of Fault Coverage Test Pattern Generator Using LFSR

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

This Chapter describes the concepts of scan based testing, issues in testing, need

Simulation Mismatches Can Foul Up Test-Pattern Verification

Lecture 23 Design for Testability (DFT): Full-Scan

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

At-speed Testing of SOC ICs

TKK S ASIC-PIIRIEN SUUNNITTELU

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

7 Nov 2017 Testing and programming PCBA s

the Boundary Scan perspective

Testing Digital Systems II

K.T. Tim Cheng 07_dft, v Testability

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

New Directions in Manufacturing Test

Full scan testing of handshake circuits. Frank J. te Beest

ECE 715 System on Chip Design and Test. Lecture 22

At-speed testing made easy

Saving time & money with JTAG

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

Chapter 8 Design for Testability

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies


DESIGN FOR TESTABILITY

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Advances in VLSI Testing at MultiGb per Second Rates

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Department of Information Technology and Electrical Engineering. VLSI III: Test and Fabrication of VLSI Circuits L.

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Avoiding False Pass or False Fail

CSE 352 Laboratory Assignment 3

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Sequential Design Basics

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

ISSN (c) MIT Publications

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Tools to Debug Dead Boards

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Diagnosis of Resistive open Fault using Scan Based Techniques

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Failure Analysis Technology for Advanced Devices

Topic 8. Sequential Circuits 1

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Project 6: Latches and flip-flops

Design for test methods to reduce test set size

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Testing Digital Systems II

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Section 24. Programming and Diagnostics

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

New tests and test methodologies for scan cell internal faults

Chapter 5 Flip-Flops and Related Devices

VLSI Chip Design Project TSEK06

Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations

CMOS Latches and Flip-Flops

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Implementation of Scan Insertion and Compression for 28nm design Technology

ELF-Murphy Data on Defects and Test Sets

Product Update. JTAG Issues and the Use of RT54SX Devices

3. Configuration and Testing

Introduction to CMOS VLSI Design (E158) Lab 3: Datapath and Zipper Assembly

BSDL Validation: A Case Study

Comparing Functional and Structural Tests

ME 515 Mechatronics. Introduction to Digital Electronics

Transcription:

Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html Digital Integrated Circuits: A Design Perspective, Prentice Hall!! D. Harris http://www.cmosvlsi.com/coursematerials.html Weste and Harris, CMOS VLSI Design: A Circuits and Systems Perspective, Addison Wesley Recommended Reading:!! J. Rabaey et. al. Digital Integrated Circuits: A Design Perspective : Design Methodology Insert H URL: http://www.ee.ic.ac.uk/pcheung!! Weste and Harris, CMOS VLSI Design: A Circuits and Systems Perspective : Chapter 9 Topic 4 - Topic 4-2 Testing Logic Verification!! Testing is one of the most expensive parts of chips! Logic verification accounts for > 50% of design effort for many chips! Debug time after fabrication has enormous opportunity cost! Shipping defective parts can sink a company!! Example: Intel FDIV bug! Logic error not caught until > M units shipped! Recall cost $450M (!!!)!! Does the chip simulate correctly?! Usually done at HDL level! Verification engineers write test bench for HDL "!Can t test all cases "!Look for corner cases "!Try to break logic design!! Ex: 32-bit adder! Test all combinations of corner cases as inputs: "!0,, 2, 2 3 -, -, -2 3, a few random numbers!! Good tests require ingenuity Topic 4-3 Topic 4-4

Silicon Debug Shmoo Plots!! Test the first chips back from fabrication! If you are lucky, they work the first time! If not!! Logic bugs vs. electrical failures! Most chip failures are logic bugs from inadequate simulation! Some are electrical failures "!Crosstalk "!Dynamic nodes: leakage, charge sharing "!Ratio failures! A few are tool or methodology failures (e.g. DRC)!! Fix the bugs and fabricate a corrected chip!! How to diagnose failures?! Hard to access chips "! Picoprobes "! Electron beam "! Laser voltage probing "! Built-in self-test!! Shmoo plots! Vary voltage, frequency! Look for cause of electrical failures Topic 4-5 Topic 4-6 Manufacturing Test Validation and Test of Manufactured Circuits!! A speck of dust on a wafer is sufficient to kill chip!! Yield of any chip is < 00%! Must test chips after manufacturing before delivery to customers to only ship good parts!! Manufacturing testers are very expensive! Minimize time on tester! Careful selection of test vectors Goals of Design-for-Test (DFT) Make testing of manufactured part swift and comprehensive DFT Mantra Provide controllability and observability Components of DFT strategy! Provide circuitry to enable test! Provide test patterns that guarantee reasonable coverage Topic 4-7 Topic 4-8

Test Classification ability!! Diagnostic test! used in chip/board debugging! defect localization!! go/no go or production test! Used in chip production!! Parametric test! x e [v,i] versus x e [0,]! check parameters such as NM, Vt, tp, T Exhaustive test is impossible or unpractical Topic 4-9 Topic 4-0 Controllability/Observability!! Design the chip to increase observability and controllability!! If each register could be observed and controlled, test problem reduces to testing combinational logic between registers.!! Better yet, logic blocks could enter test mode where they generate test patterns and report the results automatically.!! Combinational Circuits: controllable and observable - relatively easy to determine test patterns!! Sequential Circuits: State! Turn into combinational circuits or use self-test!! Memory: requires complex patterns Use self-test Topic 4 - Topic 4-2

Generating and Validating Test-Vectors Fault Models!! Automatic test-pattern generation (ATPG)! for given fault, determine excitation vector (called test vector) that will propagate error to primary (observable) output! majority of available tools: combinational networks only! sequential ATPG available from academic research!! Fault simulation! determines test coverage of proposed test-vector set! simulates correct network in parallel with faulty networks!! Both require adequate models of faults in CMOS integrated circuits Most Popular - Stuck - at model! Covers almost all (other) occurring faults, such as opens and shorts.!, " : x sa" # : x sa0 or" x2 sa0" " : Z sa" Topic 4-3 Topic 4-4 Problem with stuck-at model: CMOS open fault Problem with stuck-at model: CMOS short fault Causes short circuit between Vdd and GND for A=C=0, B= Sequential effect Needs two vectors to ensure detection! Possible approach: Supply Current Measurement (IDDQ) but: not applicable for gigascale integration Other options: use stuck-open or stuck-short models This requires fault-simulation and analysis at the switch or transistor level - Very expensive! Topic 4-5 Topic 4-6

Test Pattern Generation Path Sensitization!! Manufacturing test ideally would check every node in the circuit to prove it is not stuck.!! Apply the smallest sequence of test vectors necessary to prove each node is not stuck.!! Good observability and controllability reduces number of test vectors required for manufacturing test.! Reduces the cost of testing! Motivates design-for-test Goals: Determine input pattern that makes a fault controllable (triggers the fault, and makes its impact visible at the output nodes) Fault enabling Fault propagation 0 sa0 0 Techniques Used: D-algorithm, Podem Topic 4-7 Topic 4-8 Test Example Test Approaches SA SA0!! A 3 {00} {0}!! A 2 {00} {0}!! A {000} {00}!! A 0 {00} {0}!! n {0} {00}!! n2 {00} {000}!! n3 {00} {00}!! Y {00} {0}!! Ad-hoc testing!! Scan-based Test!! Self-Test Problem is getting harder! increasing complexity and heterogeneous combination of modules in system -on-a-chip.! Advanced packaging and assembly techniques extend problem to the board level!! Minimum set: {000, 00, 00, 0, 00, 0} Topic 4-9 Topic 4-20

Ad-hoc Test Scan!! Convert each flip-flop to a scan register! Only costs one extra multiplexer!! Normal mode: flip-flops behave as usual!! Scan mode: flip-flops behave as shift register!! Contents of flops can be scanned out and new values scanned in Inserting multiplexer improves testability Topic 4-2 Topic 4-22 Scan-based Test Scannable Flip-flops Topic 4-23 Topic 4-24

Polarity-Hold SRL (Shift-Register Latch) Scan-based Test Operation Introduced at IBM and set as company policy Topic 4-25 Topic 4-26 Scan-Path Testing Boundary Scan!! Testing boards is also difficult! Need to verify solder joints are good "!Drive a pin to 0, then to "!Check that all connected pins get the values!! Through-hold boards used bed of nails!! SMT and BGA boards cannot easily contact pins!! Build capability of observing and controlling pins into each chip to make board test easier Partial-Scan can be more effective for pipelined datapaths Topic 4-27 Topic 4-28

Boundary Scan (JTAG) Boundary Scan Example Board testing becomes as problematic as chip testing Topic 4-29 Topic 4-30 Boundary Scan Interface Built-in Self-test!! Boundary scan is accessed through five pins! TCK: test clock! TMS: test mode select! TDI: test data in! TDO: test data out! TRST*: test reset (optional)!! Built-in self-test lets blocks test themselves! Generate pseudo-random inputs to comb. logic! Combine outputs into a syndrome! With high probability, block is fault-free if it produces the expected syndrome!! Chips with internal scan chains can access the chains through boundary scan for unified test strategy. Topic 4-3 Topic 4-32

Self-test PRSG!! Linear Feedback Shift Register! Shift register with input taken from XOR of state! Pseudo-Random Sequence Generator Step Q 0 0 2 0 3 00 Rapidly becoming more important with increasing chip-complexity and larger modules 4 00 5 00 6 0 7 (repeats) Topic 4-33 Topic 4-34 Linear-Feedback Shift Register (LFSR) Signature Analysis Counts transitions on single-bit stream $ Compression in time Pseudo-Random Pattern Generator Topic 4-35 Topic 4-36

BILBO BILBO Application Topic 4-37 Topic 4-38 BILBO Memory Self-Test!! Built-in Logic Block Observer! Combine scan with PRSG & signature analysis Patterns: Writing/Reading 0s, s, Walking 0s, s Galloping 0s, s Topic 4-39 Topic 4-40

Low Cost Testing TestosterICs!! If you don t have a multimillion dollar tester:! Build a breadboard with LED s and switches! Hook up a logic analyzer and pattern generator! Or use a low-cost functional chip tester!! Ex: TestosterICs functional chip tester! Designed by clinic teams and David Diaz at HMC! Reads your IRSIM test vectors, applies them to your chip, and reports assertion failures Topic 4-4 Topic 4-42 Summary!! Think about testing from the beginning! Simulate as you go! Plan for test after fabrication!! If you don t test it, it won t work! (Guaranteed) Topic 4-43