Summary of Selected EMCR650 Projects for Fall 2005 Mike Aquilino Dr. Lynn Fuller

Similar documents
Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Deep Silicon Etch Technology for Advanced MEMS Applications

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Standard Operating Manual

Defense Technical Information Center Compilation Part Notice

Sub-micron high aspect ratio silicon beam etch

RTNN Etch capabilities

Self-Aligned Double Patterning for 3xnm Flash Production

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Multilevel Beam SOI-MEMS for Optical Applications

AMOLED Manufacturing Process Report SAMPLE

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Compensation for transient chamber wall condition using realtime plasma density feedback control in an inductively coupled plasma etcher

Reactive Ion Etching of PECVD Silicon Dioxide (SiO 2 ) Layer for MEMS Application

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Compensation for transient chamber wall condition using real-time plasma density feedback control in an inductively coupled plasma etcher

Lecture 3: Circuits & Layout

Homework 1. Homework 1: Measure T CK-Q delay

Micromachining Technology for Lateral Field Emission Devices

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

Wafer Thinning and Thru-Silicon Vias

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

SEMICONDUCTOR TECHNOLOGY -CMOS-

Enabling Paper-Like Displays Roll-to-Roll Manufacturing of Display Backplanes. Hewlett-Packard Company, Palo Alto, CA. Phicot Inc, Ames, IA

Chapter 1. Introduction. 1.1 Overview of Vacuum Microelectronics and its Applications

4-Bit Microprocessor: Design, Simulation, Fabrication, and Testing

SEMICONDUCTOR TECHNOLOGY -CMOS-

Backside Circuit Edit on Full-Thickness Silicon Devices

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Digital Light Processing

Advanced Display Manufacturing Technology

Because Innovation Matters

GENCOA Key Company Facts. GENCOA is a private limited company (Ltd) Founded 1995 by Dr Dermot Monaghan. Located in Liverpool, UK

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

SUPPLEMENTARY INFORMATION

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

(12) Patent Application Publication (10) Pub. No.: US 2007/ A1

Overcoming Challenges in 3D NAND Volume Manufacturing

Improvements in Gridless Ion Source Performance

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

Multipactor-induced induced neutral pressure limits on Alcator C-Mod ICRF Performance

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

Applying LaPO 4 Phosphor via Spinning for BetaPhotovoltaic Devices

Reliability of Level 1 and Level 2 Packaging in Solid-State Lighting Devices

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

2.1. Log on to the TUMI system (you cannot proceed further until this is done).

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

A 32 by 32 Electroplated Metallic Micromirror Array

Investigation of Radio Frequency Breakdown in Fusion Experiments

HB LEDs & OLEDs. Complete thin film process solutions

R2R Processing of Flexible Devices

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Approved by: / / R. Battaglia 12/16/2016

The Flat Panel Display Paradigm: Successful Implementation of Microelectronic Processes on Gigantic Wafers

Operating Instructions

CARLITE grain orien TEd ELECTRICAL STEELS

Lecture 1: Intro to CMOS Circuits

Introducing the New VERSALINE The Photomask Future has Arrived with MASK ETCHER IV 10 BEST Award Fourth Year Running.

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

HIGH VACUUM PUMPS. Hybrid Turbomolecular Pumps. ATH series. Adixen by Alcatel Vacuum Technology

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Technical Data Sheet White SMD Surface Mount Device

ih Series Drypumps (505) idealvac.com idealvac.com

Etch Profile Control of High-Aspect Ratio Deep Submicrometer -Si Gate Etch

CMP and Current Trends Related to Advanced Packaging

Cree XLamp 4550 LEDs BENEFITS

Press Release May 17, SMM Develops New Oxide-based Red Phosphor In Collaboration with Tohoku University Research Team

Nano-Imprint Lithography Infrastructure: Imprint Templates

Outline. Circuits & Layout. CMOS VLSI Design

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

Outline. Annual Sales. A Brief History. Transistor Types. Invention of the Transistor. Lecture 1: Circuits & Layout. Introduction to CMOS VLSI Design

Lecture 1: Circuits & Layout

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

RCA Engineer Vol. 26 No.2 Sept./Oct. 1980

Features low conversion loss, 6.05 db typ. good L-R isolation, 35 db typ, L-I, 30 db typ. wideband, 10 to 2000 MHz rugged shielded case

Computer Organization & Architecture Lecture #5

Uniformity Improvement of the Ion Implantation System for Low Temperature Poly-Silicon TFTs

Encoders and Decoders: Details and Design Issues

AMBIENT LIGHT SENSOR IC

Reliability Data Report Product Family R504

Hollow cathode plasma sources for large area surface treatment

CPE 200L LABORATORY 2: DIGITAL LOGIC CIRCUITS BREADBOARD IMPLEMENTATION UNIVERSITY OF NEVADA, LAS VEGAS GOALS:

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Model ED 400 Drying and heating chambers Classic.Line with natural convection

IC TECHNOLOGY Lecture 2.

NXP t505f Smart Card RFID Die Embedded NOR Flash Die From Smart Card World MIFARE Ultralight C

MICROPROCESSOR CALCULATION MODULE - S2000

DARPATech 99 DARPA/MTO. Bruce Gnade

EVAPORATIVE COOLER. ...Simple Effective Inexpensive to operate Economical. MODEL EC2.5 EC to CFM Nominal Airflow

University of Minnesota Nano Fabrication Center Standard Operating Procedure

Stability of HSQ nanolines defined by e-beam lithography for Si nanowire field effect transistors

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

High ResolutionCross Strip Anodes for Photon Counting detectors

Agilent High Capacity RV Pumps & Roots Pumping Systems

CONSTRUCTION AND PHYSICAL PROCESSING OF ZEUSPANELS

Light LED Product Data Sheet LTW-M140VWS57 Spec No.: DS Effective Date: 11/10/2011 LITE-ON DCC RELEASE

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Transcription:

ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650 Projects for Fall 2005 Mike quilino Dr. Lynn Fuller http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041 LFFEEE@rit.edu http://www.microe.rit.edu 1-5-2006 650Projects051.ppt Page 1

INTRODUCTION Each of the students in EMCR650 are asked to do a process improvement project to make the student factory better. In place of a final exam they present their project results. This document is a summary of some of their presentations. Page 2

OUTLINE Introduction Improved (Shorter Time) ranson sher Recipe Dan Pearce Measured Etch Rates of PECVD TEOS & Oxide Hang Lin SEM Pictures of Factory STI Nkiruka Okeke Design, Fabrication and Testing of a PMOS 4-Input MUX Dr. Fuller Page 3

NEW IMPROVES SHORTER TIME RNSON SH 6" POST_L Step 1 2 3 Pump Fast Fast None Purge Slow None Fast Endpoint EOP Time Time Time 150 5 5 RF 500 0 0 Lamp 1800 0 0 Lamp Time 20 0 0 Platen Temp 40 40 40 Pressure 4500 50 8000 Gas1 5000 0 0 Gas2 0 0 3000 EOP Timeout 200 20 120 Dan Pearce Increased lamp time from 15 to 20 sec. Increased pressure from 4000 to 4500 mtorr Changed from none to slow purge in step 1 Page 4

MESURED ETCH RTES OF PECVD TEOS & OXIDE Summary of Etch Rates and Deposition Rates for RIT Processes Dr. Lynn Fuller Wet Etch Process Description Date Rate Units 7:1 uffered Oxide Etch of Thermal Oxide, 300 K 12/1/2004 1122 Å/min 10:1 uffered Oxide Etch of Thermal Oxide, 300 K 10/15/2005 586 Å/min 10:1 OE Etch of PECVD TEOS Oxide, no anneal, 300 K 10/15/2005 2062 Å/min 10:1 OE Etch of PECVD TEOS Oxide, anneal 1000C - 60 min, 300 K 10/15/2005 814 Å/min 10:1 OE Etch of PECVD TEOS Oxide, anneal 1100C - 6 hr, 300 K 10/15/2005 562 Å/min Pad Etch on Thermal Oxide, 300 K 12/1/2004 629 Å/min Pad Etch of PECVD TEOS Oxide, 300 k Å/min Hot Phosphoric cid Etch of Thermal Oxide at 175 C 10/15/2005 <1 Å/min Hot Phosphoric cid Etch of TEOS Oxide, no anneal, at 175 C 10/15/2005 17 Å/min Hot Phosphoric cid Etch of TEOS Oxide, 1000 C 60 min nneal, at 175 C 10/15/2005 3.3 Å/min Hot Phosphoric cid Etch of TEOS Oxide, 1100 C 6 Hr nneal, at 175 C 10/15/2005 3.8 Å/min Hot Phosphoric cid Etch of Si3N4 at 175 C 11/15/2004 82 Å/min 50:1 Water:HF(49%) on Thermal Oxide at room T 10/15/2005 187 Å/min 50:1 Water:HF(49%) on PECVD TEOS Oxide, no anneal, at room T 10/15/2005 611 Å/min 50:1 Water:HF(49%) on PECVD TEOS Oxide, anneal 1000 C -30 min, at room T 10/15/2005 115 Å/min 50:1 Water:HF(49%) of PECVD TEOS Oxide, anneal 1100C - 6 hr, 300 K 10/15/2005 107 Å/min KOH 20 wt%, 85 C, Etch of Si (crystaline) 2/4/2005 30 µm/min KOH etch rate of PECVD Nitride (Low σ) 2/4/2005 10 Å/min Hang Lin Page 5

SEM PICTURES OF FCTORY STI COMPRISON OF TRENCH ETCH IN DRYTEC QUD ND LM490 Hard bake not good enough -Resist Flow (etch to hot) Tool: Drytec Quad RF Power: 250 W Etch Chemistry: SF6 & CHF3 30sccm Pressure: 60 mtorr Hard ake not good enough -Resist Erosion (etch to long) Tool: LM 490 RF Power: 125 W Etch Chemistry: SF6 200sccm Pressure: 259 torr Page 6 Nkiruka Okeke

SEM PICTURES OF FCTORY STI Nkiruka Okeke SEM Picture after Lam490 STI Etch, Resist Strip and trench fill. Shows correct trench depth of ~4000Å and fill of ~6000Å Page 7

SEM PICTURES OF FCTORY STI STI Formation using LM 490 fter PECVD TEOS trench fill but before CMP STI Formation using Drytek Quad after CMP Nkiruka Okeke Page 8

SEM PICTURES OF FCTORY STI Conclusion: 1. Lam 490 is a plasma etcher and gives isotropic etch (undercut) 2. DryTech Quad is an RIE and can give anisotropic etch (less undercut) 3. oth etch processes are tough on the photoresist so the resist needs to see a good hard bake. The standard SSI recipes don t really hard bake (1min at 120 C) 4. PECVD TEOS trench Fill Looks good before and after CMP. 5. Hang Lin showed that the PECVD TEOS needs to be densified. Nkiruka Okeke Page 9

MULTIPLEXER TEST SIGNLS Out Input Signal,, or is directed to the output depending on the and select line values Page 10

MUX LYOUT ND GTE LEVEL SCHEMTIC 25 Transistors I 0 I 0 I 1 I 1 Q I 2 I 3 I 2 I 3 Page 11

PMOS 4-INPUT MULTIPLEXER Page 12

MUX TEST RESULTS In PMOS logic low is 0 volts, logic high is -Vcc Page 13

MUX TEST RESULTS In PMOS logic low is 0 volts, logic high is -Vcc Page 14

REFERENCES 1. Silicon Processing, Stanley Wolf 2. EMCR650 lecture notes on line at http://www.rit.edu/~lffeee Page 15