Outcomes. Spiral 1 / Unit 6. Flip-Flops FLIP FLOPS AND REGISTERS. Flip-flops and Registers. Outputs only change once per clock period

Similar documents
Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive

EET2411 DIGITAL ELECTRONICS

Registers and Counters

Digital Design Datapath Components: Parallel Load Register

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger.

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

Asynchronous (Ripple) Counters

Counter dan Register

Registers and Counters

Experiment 8 Introduction to Latches and Flip-Flops and registers

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

LATCHES & FLIP-FLOP. Chapter 7

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

The NOR latch is similar to the NAND latch

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

CSE140: Components and Design Techniques for Digital Systems. More D-Flip-Flops. Tajana Simunic Rosing. Sources: TSR, Katz, Boriello & Vahid

Review of digital electronics. Storage units Sequential circuits Counters Shifters

Logic Design. Flip Flops, Registers and Counters

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

Sequential Circuits: Latches & Flip-Flops

More Digital Circuits

Other Flip-Flops. Lecture 27 1

RS flip-flop using NOR gate

Unit 11. Latches and Flip-Flops

Switching Circuits & Logic Design

Digital Circuits ECS 371

Sequential Design Basics

Engr354: Digital Logic Circuits

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3)

FE REVIEW LOGIC. The AND gate. The OR gate A B AB A B A B 0 1 1

Digital Circuit And Logic Design I. Lecture 8

Digital Circuit And Logic Design I

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Latches, Flip-Flops, and Registers. Dr. Ouiem Bchir

RS flip-flop using NOR gate

CHAPTER1: Digital Logic Circuits

6. Sequential Logic Flip-Flops

ELCT201: DIGITAL LOGIC DESIGN

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

Flip-Flops and Sequential Circuit Design

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

CPS311 Lecture: Sequential Circuits

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

1. Convert the decimal number to binary, octal, and hexadecimal.

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

2.6 Reset Design Strategy

ELCT201: DIGITAL LOGIC DESIGN

Sequential Circuit Design: Part 1

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Sequential Circuit Design: Part 1

Lecture 12. Amirali Baniasadi

Digital Circuits 4: Sequential Circuits

COMP sequential logic 1 Jan. 25, 2016

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Sequential Logic Counters and Registers

Introduction to Sequential Circuits

CSE 140 Exam #3 Tajana Simunic Rosing

Sequential Logic Design CS 64: Computer Organization and Design Logic Lecture #14

CH 11 Latches and Flip-Flops

CHAPTER 6 COUNTERS & REGISTERS

DIGITAL CIRCUIT COMBINATORIAL LOGIC

10.1 Sequential logic circuits are a type of logic circuit where the output of the circuit depends not only on

CHAPTER 11 LATCHES AND FLIP-FLOPS

Introduction. Serial In - Serial Out Shift Registers (SISO)

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

Chapter 5 Flip-Flops and Related Devices

Counters

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

UNIT 11 LATCHES AND FLIP-FLOPS

Register Transfer Level in Verilog: Part II

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Collections of flip-flops with similar controls and logic

ECE 545 Digital System Design with VHDL Lecture 2. Digital Logic Refresher Part B Sequential Logic Building Blocks

LAB 7. Latches & Flip Flops

Activity Sequential Logic: An Overview

EECS 270 Group Homework 4 Due Friday. June half credit if turned in by June

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

Chapter 7 Counters and Registers

Module 4:FLIP-FLOP. Quote of the day. Never think you are nothing, never think you are everything, but think you are something and achieve anything.

EKT 121/4 ELEKTRONIK DIGIT 1

Digital Fundamentals

Slide 1. Flip-Flops. Cross-NOR SR flip-flop S R Q Q. hold reset set not used. Cross-NAND SR flip-flop S R Q Q. not used reset set hold 1 Q.

Chapter 11 Latches and Flip-Flops

EE292: Fundamentals of ECE

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Contents Circuits... 1

Sequential Logic and Clocked Circuits

Software Engineering 2DA4. Slides 3: Optimized Implementation of Logic Functions

Transcription:

1-6.1 1-6.2 Outcomes Spiral 1 / Unit 6 Flip-flops and Registers I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at least 1 technique to improve throughput I can identify when I need state vs. a purely combinational function I can convert a simple word problem to a logic function (TT or canonical form) or state diagram I can use Karnaughmaps to synthesize combinational functions with several outputs I understand how a register with an enable functions & is built I can design a working state machine given a state diagram I can implement small logic functions with complex CMOS gates 1-6.3 1-6.4 Flip-Flops Outputs only change once per clock period Outputs change on either the positive edgesof the clock or the negative edges FLIP FLOPS AN REGISTERS Positive-Edge of the Clock Negative-Edge of the Clock

1-6.5 1-6.6 Flip-Flops Positive-Edge Triggered -FF To indicate negative-edge triggered use a bubble in front of the clock input Positive-Edge Triggered -FF Negative-Edge Triggered -FF looks at only at the positive-edge * * x 1 x 1 1 1 -FF -FF No bubble indicates positive-edge triggered Bubble indicates negative-edge triggered only samples at the positive edges and then holds that value until the next edge 1-6.7 1-6.8 Negative-Edge Triggered -FF FF Example looks at only at the negative-edge * * x 1 x 1 1 1 Assume positive edge-triggered FF only samples at the negative edges and then holds that value until the next edge

1-6.9 1-6.1 FF Example Assume negative edge-triggered FF Shift Register A shift register is a device that acts as a queue or FIFO (First-in, First-Out). It can store n bits and each bit moves one step forward each clock cycle One bit comes in the overall input per clock One bit falls out the output per clock 1-6.11 1-6.12 Shift Register INITIALIZING OUTPUTS

1-6.13 1-6.14 Initializing Outputs Initializing Outputs Need to be able to initialize to a known value ( or 1) FF inputs are often connected to logic that will produce values after initialization Two extra inputs are often included: P and CLEAR Logic When CLEAR = active *= When = active *= When NEITHER = active Normal FF operation To help us initialize our FF s use a signal Generally produced for us and given along with It starts at Active (1)when power turns on and then goes to Inactive ()for the rest of time When it s active use it to initialize the FF s and then it will go inactive for the rest of time and the FF s will work based on their inputs Inactive () for the rest of time Note: and have priority over normal FF inputs Active (1) at time= 1-6.15 1-6.16 Initializing Outputs Implementing an Initial State Need to be able to initialize to a known value ( or 1) When is activated s initialize to and then when it goes back to 1 the s look at the inputs When =, is inactive and looks at at each clock edge Forces s to because it s connected to the inputs Logic * = _ Logic * = _... Once goes to, the FF s look at the inputs 1... 1

1-6.17 1-6.18 Preset / Clear Example Assume an synchronous Preset 1 3 5 7 Using muxes to control when register save data REGISTER WITH ABLES 1-6.19 1-6.2 Register Resets/Clears Register Problem When the power turns on the bit stored in a flip-flop will initialize to a value Better to initialize it to a known value ( ) Use a special signal called " " to force the flip-flops to 's 1 2 1 2 Whatever the value is at the clock edge is sampled and passed to the output until the next clock edge Problem: Register will save data on edge Often we want the ability to save on one edge and then that value for many more cycles i i * 1, X X i 3 3 [3:] 1 11 1 11 11 111 1 11 11 [3:]? 11 1 11 11 111 1 11 4-bit Register 4-bit Register On clock edge, is passed to

1-6.21 1-6.22 Solution Registers w/ Enables Registers (-FF s) will sample the bit every clock edge and pass it to Sometimes we may want to hold the value of and ignore even at a clock edge We can add an enable input and some logic in front of the -FF to accomplish this i i *,1 X X X i 1 X X 1 S FF with ata Enable (Always clocks, but selectively chooses old value,, or new value ) When =, value is passed back to the input and thus will maintain its value at the next clock edge When =1, value is passed to the input and thus will change at the edge based on 1 When =, is recycled back to the input When =1, input is passed to FF input 1-6.23 1-6.24 4-bit Register w/ ata (Load) Enable Registers w/ Enables Registers (-FF s) will sample the bit every clock edge and pass it to Sometimes we may want to hold the value of and ignore even at a clock edge We can add an enable input and some logic in front of the -FF to accomplish this i i *,1 X X X i 1 X X X i 1 1 1 1 1 2 3 4-bit register with 4-bit wide 2-to-1 mux in front of the inputs 1 2 3 The value is sampled at the clock edge only if the enable is active Otherwise the current value is maintained [3:] 1 11 1 11 11 111 1 11 11 [3:] 11 111 1