System-Level Timing Closure Using IBIS Models

Similar documents
DDR2 Application Note

IBIS4.2 and VHDL-AMS for SERDES and DDR2 Analysis

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

TIMING ANALYSIS CONCEPT TO PRACTICE SIGNAL INTEGRITY. 1 P a g e

Static Timing Analysis for Nanometer Designs

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model

New Serial Link Simulation Process, 6 Gbps SAS Case Study

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

Procedure for DDR Clock Skew and Jitter Measurements

Scan. This is a sample of the first 15 pages of the Scan chapter.

MMI: A General Narrow Interface for Memory Devices

BUSES IN COMPUTER ARCHITECTURE

Ultra ATA Implementation Guide

Designing High Performance Interposers with 3-port and 6-port S-parameters

New Techniques for Designing and Analyzing Multi-GigaHertz Serial Links

At-speed Testing of SOC ICs

Logic Analysis Basics

Logic Analysis Basics

Using Allegro PCB SI GXL to Make Your Multi-GHz Serial Link Work Right Out of the Box

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

FOM-1090 FOM-1090 FOM FOM-1090 w/ DB-25 Female FOM-1091 w/ DB-25 Male

TKK S ASIC-PIIRIEN SUUNNITTELU

Dual Link DVI Receiver Implementation

FMS3810/3815 Triple Video D/A Converters 3 x 8 bit, 150 Ms/s

Achieving Timing Closure in ALTERA FPGAs

Versatile IO Circuit Schemes for LPDDR4 with 1.8mW/Gbps/pin Power Efficiency. Kyoung-Hoi Koo

Logic Devices for Interfacing, The 8085 MPU Lecture 4

IBIS-AMI Post-Simulation Analysis

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

Post Silicon Electrical Validation Lecture 2. Tony Muilenburg

Agilent N5413A DDR2 Compliance Test Application

Features. For price, delivery, and to place orders, please contact Hittite Microwave Corporation:

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs

INSTRUCTION MANUAL FOR MODEL IOC534 LOW LATENCY FIBER OPTIC TRANSMIT / RECEIVE MODULE

CDK3402/CDK bit, 100/150MSPS, Triple Video DACs

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

DM Segment Decoder/Driver/Latch with Constant Current Source Outputs

PCIe: EYE DIAGRAM ANALYSIS IN HYPERLYNX

SingMai Electronics SM06. Advanced Composite Video Interface: HD-SDI to acvi converter module. User Manual. Revision 0.

Lecture #4: Clocking in Synchronous Circuits

Practical De-embedding for Gigabit fixture. Ben Chia Senior Signal Integrity Consultant 5/17/2011

UVM Testbench Structure and Coverage Improvement in a Mixed Signal Verification Environment by Mihajlo Katona, Head of Functional Verification, Frobas

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

Total Ionizing Dose Test Report. No. 14T-RTSX32SU-CQ256-D1RH41

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Agilent MOI for HDMI 1.4b Cable Assembly Test Revision Jul 2012

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p.

Testing Results for a Video Poker System on a Chip

GFT Channel Slave Generator

Technical Note FBDIMM Channel Utilization (Bandwidth and Power)

Sharif University of Technology. SoC: Introduction

2.6 Reset Design Strategy

Receiver Testing to Third Generation Standards. Jim Dunford, October 2011

Pre-emphasis Buffer modeling

64CH SEGMENT DRIVER FOR DOT MATRIX LCD

Combinational vs Sequential

SignalTap Plus System Analyzer

Features of the 745T-20C: Applications of the 745T-20C: Model 745T-20C 20 Channel Digital Delay Generator

Modeling Latches and Flip-flops

GALILEO Timing Receiver

High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES Author: Maria George

Design Project: Designing a Viterbi Decoder (PART I)

Innovative Fast Timing Design

JTAG-SMT1 Programming Module for Xilinx FPGAs. Overview. 23 mm. 21.5mm. Revised November 21, 2017 This manual applies to the JTAG-SMT1 rev.

Clocking Spring /18/05

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Agilent N6465A emmc Compliance Test Application

GFT Channel Digital Delay Generator

DIGITAL SWITCHERS 2100 SERIES

Digital Electronics II 2016 Imperial College London Page 1 of 8

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat.

DM Segment Decoder/Driver/Latch with Constant Current Source Outputs

SN54273, SN54LS273, SN74273, SN74LS273 OCTAL D-TYPE FLIP-FLOP WITH CLEAR

9. Synopsys PrimeTime Support

LMH0344 3Gbps HD/SD SDI Adaptive Cable Equalizer

S6B CH SEGMENT DRIVER FOR DOT MATRIX LCD

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015

FPGA Design. Part I - Hardware Components. Thomas Lenzi

How to overcome/avoid High Frequency Effects on Debug Interfaces Trace Port Design Guidelines

GT Dual-Row Nano Vertical SMT High Speed Characterization Report For Differential Data Applications

ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials

Sub-LVDS-to-Parallel Sensor Bridge

Keysight Technologies U4154A AXIe-Based Logic Analyzer Module. Data Sheet

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

HMC-C060 HIGH SPEED LOGIC. 43 Gbps, D-TYPE FLIP-FLOP MODULE. Features. Typical Applications. General Description. Functional Diagram

SingMai Electronics SM06. Advanced Composite Video Interface: DVI/HD-SDI to acvi converter module. User Manual. Revision th December 2016

Remote Diagnostics and Upgrades

VFD Driver/Controller IC

AI-1204Z-PCI. Features. 10MSPS, 12-bit Analog Input Board for PCI AI-1204Z-PCI 1. Ver.1.04

A Transaction-Oriented UVM-based Library for Verification of Analog Behavior

ISSCC 2006 / SESSION 18 / CLOCK AND DATA RECOVERY / 18.6

L CHANNEL LOW POWER PREAMPLIFIER

DM Segment Decoder Driver Latch with Constant Current Source Outputs

What really changes with Category 6

Transcription:

System-Level Timing Closure Using IBIS Models Barry Katz President/CTO, SiSoft Asian IBIS Summit Asian IBIS Summit Tokyo, Japan - October 31, 2006 Signal Integrity Software, Inc.

Agenda High Speed System Design Establishing timing model Derivation of timing equations Idealized timing analysis The role of signal integrity Reconciling signal integrity with timing Pre-route exploration Driving physical design Post-route validation Design analysis reuse Case study: DDR2 memory

High Speed System Design Not Just Signal Integrity Constraint-Driven Design Timing Analysis ASIC / FPGA PCB System Signal Integrity High Speed Design involves multiple disciplines Changes in any area drive changes in others Mastery of modeling details & process flow is essential for success

System Level Timing Closure Setup Hold Successful high speed design requires a rigorous methodology for ensuring positive design margin across all combinations of: Component timing (process) Voltage & temperature Package & PCB routing lengths PCB manufacturing variations (Z 0, loss)

Establishing Timing Budgets DDR2 Memory Controller ddr2_controller.ibs ddr2_controller.tmg ddr2_sdram.ibs ddr2_sdram.tmg addcmd 22 ctrl_slot1 6 6 dm 8 dq dqs 64 8 Slot 1 SoDIMM JEDEC Raw Card A, B, C, or D High speed interfaces have one or more transactions that require timing closure Memory example: Address/control Data read Data write Strobe to Clock ck_slot1 2 Timing relationships must be identified and closed for each different transaction

Source-Sync Transaction Example CLKOUT CLKIN Q0.. Q15 D0.. D15 Driver Receiver Establish component timing & transfer protocol Derive timing equations Idealized timing analysis Signal integrity analysis and Timing Closure

Component Timing, Transfer Protocol S 3. Interconnect Delays 2. Driver Timing CLKOUT [0ns, 0ns] Q0.. Q15 [-0.3ns, 0.3ns] CLKIN [0ns, 0ns] D0.. D15 [0.4ns, 0.4ns] 4. Receiver Requirements 1. Design Goals Clock = 250 MHz Source Sync, DDR transfer Data Unit Interval = 2ns 90 o clock shift on PCB

Derive Timing Equations CLKOUT [0ns, 0ns] [min = a1, max = a2] CLKIN [0ns, 0ns] Q0.. Q15 [-0.3ns, 0.3ns] [min = b1, max = b2] D0.. D15 [0.4ns, 0.4ns] Setup margin = [early clock] [late data] [setup requirement] = [0ns + a1] [0.3ns + b2] - [0.4ns] = a1 b2 0.7ns Hold margin = [Data UI] + [early data] [late clock] - [hold requirement] = [2ns] + [-0.3ns + b1] [0ns + a2] - [0.4ns] = 1.3ns + b1 a2

Idealized Timing Analysis Minimum data length = 3, at 180ps/in = 0.54ns CLKOUT [0ns, 0ns] [a1 = a2 = 1.54ns] CLKIN [0ns, 0ns] Q0.. Q15 [-0.3ns, 0.3ns] [b1= b2 = 0.54ns] D0.. D15 [0.4ns, 0.4ns] Setup margin = a1 b2 0.7ns = 1.54ns 0.54ns 0.7ns = 0.3 ns Hold margin = 1.3ns + b1 a2 = 1.3ns + 0.54ns 1.54ns = 0.3ns

The Role of Signal Integrity 0.54 ns Idealized Delays Detailed analysis of digital switching behavior IBIS or HSpice models define I/O buffer behavior Accounts for Actual circuit loading Reflections / ringing Circuit topology Inter-symbol interference Switching thresholds Process, Voltage, and Temperature Variation Real-World Delays

Reconciling SI with Timing Device delays are measured using specific voltages / loading conditions: CLK D T CO Q SI flight times must be measured based on those same conditions: Delays measured from reference loading condition TCAM_CLK CLKOUT Driver V TRIG T CO Receiver CLK V MEAS Delays measured to input thresholds (VIL, VIH) at receiver input pin Static timing and signal integrity measurements must be compatible SI measurements are normalized to conditions under which loading is specified IBIS Vref, Cref, Rref, Vmeas Timing Closure occurs when integrated timing/si results show acceptable setup/hold margins

Building an Executable Timing Model For each interface, all transactions must be validated for all cases: Component timing (process) Voltage, temperature PCB variations Creating an executable timing model to perform automatic regression is ideal Possibilities Excel Custom scripting EDA tools t cycle = t co + t final settling + t setup + t skew + t jitter + t SSO + t ISI

Pre-Route SI Exploration Pre-route simulations model planned Drivers Receivers Routing topology & lengths Termination Simulated interconnect delays are extracted and plugged back into the Executable Timing Model Setup and hold margins are calculated for temperature, process and voltage corners

Driving Physical Design 2 2.5 < 0.25 < 0.25 Pre-route SI/Timing analysis defines PCB routing rules Rules usually include pin ordering, length limits and stub matching Driving automated rules into PCB CAD is essential Match stub lengths to within 0.2

Post-Route Validation Routed topologies are extracted from PCB database and simulated Simulated interconnect delays are extracted and plugged back into system timing model Setup and hold margins are calculated for temperature, process and voltage corners

Design Analysis Reuse QDR0 Serial Links Controller QDR1 FSB CPU DDR2 A Once all the SI/timing data for an interface has been captured, it should be possible to directly reuse that information for multiple instances in a project or other projects QDR2 QDR3 DDR2 B Interface Serial_Link Transfer Nets tx rx Quantum-SI Project Interface Interface QDR CPU_FSB Transfer Nets Transfer Nets addr addr read_data data write_data ctrl ctrl clk outclk Interface DDR2 Transfer Nets addcmd dq dqs ctrl clk Each interface kit contains net class schematics, timing data & SI models

Case Study: DDR2 System Memory DDR2 supports one or two DIMM modules ADDCMD DIMM Modules DDR2 Memory Controller CTRL DQ DQS DDR2 DIMM DDR2 DIMM Registered and Unbuffered 4 to 18 memory devices Two module, data write transaction is presented here Complete case study: DM CK Features and Implementation of High-Performance 667Mbs and 800Mbs DDRII Memory Systems Presented by Micron & SiSoft DesignCon West, 2005 http://www.sisoft.com/papers.asp

DDR2 Data Write Configuration Two Modules Populated System Controller Active Module RCVR Write Configurations 150Ω 150Ω VDDQ VSSQ Standby Module DQ Active-Term Resistance Configuration Write to Dram at Slot 1 Dram at Slot 2 Controller Front Side Back Side Front Side Back Side 2R / 2R 2R / 1R 1R / 2R 1R / 1R Slot 1 Slot 1 Slot 1 Slot 1 Empty Empty 50 or 75 ohm 50 or 75 ohm 50 or 75 ohm 50 or 75 ohm Empty Empty Slot 2 Slot 2 Slot 2 Slot 2 50 or 75 ohm 50 or 75 ohm 50 or 75 ohm 50 or 75 ohm Empty Empty Empty Empty 2R / Empty Slot 1 150 ohm Empty Empty Empty / 2R Slot 2 Empty Empty 150 ohm 1R / Empty Slot 1 150 ohm Empty Empty Empty Empty / 1R Slot 2 Empty Empty 150 ohm Empty Termination strategy is dynamic; depends on how many DIMMs are present and which device is receiving Simulation environment must switch receiver models based on which case is being analyzed

Slew Rate Derating Virtual Eye Eye at device pad (simulated result) Eye at receiver output (simulated result) 3.60ns Eye 4.75ns Eye w-rate Receiver Waveform derating scheme Virtual eye at receiver (computed result) Waveform processing 4.60ns Eye

DDR2 Analysis Results Data Write Slow / Fast Corners Setup Margin (ns) Hold Margin (ns) Transfer Net 0.336 3.167 addcmd_8l_8l No AC specs No AC specs ck_4l_slot1 No AC specs No AC specs ck_4l_slot2 0.686 0.93 ctrl_4l_slot1 0.697 0.964 ctrl_4l_slot2 0.468 0.205 dm_2r_2r 0.213-0.148 dq_2r_2r 1.155 0.944 dqs_2r_2r

Summary 3.60ns Eye Setup Margin (ns) Hold Margin (ns) Transfer Net 0.336 3.167 addcmd_8l_8l No AC specs No AC specs ck_4l_slot1 No AC specs No AC specs ck_4l_slot2 0.686 0.93 ctrl_4l_slot1 0.697 0.964 ctrl_4l_slot2 0.468 0.205 dm_2r_2r 0.213-0.148 dq_2r_2r 1.155 0.944 dqs_2r_2r High-speed system design requires a rigorous, repeatable methodology for achieving Timing Closure Static Timing, Signal Integrity, and physical design rules are all interrelated An Executable Timing Model allows for a user to validate all transactions across all cases Signal Integrity analysis must be performed in accordance with the system timing model