AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

Similar documents
Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

A Low Power Delay Buffer Using Gated Driver Tree

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

A Design Of A Low Power Delay Buffer Using Ring Counter Addressing Schemes

Low-Power Delay Buffer Design Using Asymmetric C-Element Gated Clock Strategy

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

Power Optimization by Using Multi-Bit Flip-Flops

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

A Survey on Post-Placement Techniques of Multibit Flip-Flops

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

An FPGA Implementation of Shift Register Using Pulsed Latches

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Figure.1 Clock signal II. SYSTEM ANALYSIS

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of an Efficient Low Power Multi Modulus Prescaler

A Power Efficient Flip Flop by using 90nm Technology

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Power Reduction Approach by using Multi-Bit Flip-Flops

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

ISSN Vol.08,Issue.24, December-2016, Pages:

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

QDR SRAM DESIGN USING MULTI-BIT FLIP-FLOP M.Ananthi, C.Sathish Kumar 1. INTRODUCTION In memory devices the most

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

2.6 Reset Design Strategy

FAULT SECURE ENCODER AND DECODER WITH CLOCK GATING

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Low Power D Flip Flop Using Static Pass Transistor Logic

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Experiment 8 Introduction to Latches and Flip-Flops and registers

Low Power Area Efficient Parallel Counter Architecture

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Dynamic Power Reduction in Sequential Circuit Using Clock Gating

11. Sequential Elements

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

LFSR Counter Implementation in CMOS VLSI

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

P.Akila 1. P a g e 60

Reduction of Area and Power of Shift Register Using Pulsed Latches

Dual Slope ADC Design from Power, Speed and Area Perspectives

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Minimization of Power for the Design of an Optimal Flip Flop

Current Mode Double Edge Triggered Flip Flop with Enable

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

D Latch (Transparent Latch)

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

IT T35 Digital system desigm y - ii /s - iii

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

COMP2611: Computer Organization. Introduction to Digital Logic

A REVIEW OF FLIP-FLOP DESIGNS FOR LOW POWER VLSI CIRCUITS

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

Chapter 4. Logic Design

An Efficient Reduction of Area in Multistandard Transform Core

A Symmetric Differential Clock Generator for Bit-Serial Hardware

Logic Design. Flip Flops, Registers and Counters

Transcription:

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG 1 V.GOUTHAM KUMAR, Pg Scholar In Vlsi, 2 A.M.GUNA SEKHAR, M.Tech, Associate. Professor, ECE Department, 1 gouthamkumar.vakkala@gmail.com, 2 guna.421@gmail.com. Abstract: - Power reduction has become a vital design goal for sophisticated design applications, whether mobile or not. Researchers have shown that multi-bit flip-flop is an effective method for clock power consumption reduction. The underlying idea behind multi-bit flip-flop method is to eliminate total inverter number by sharing the inverters in the flip-flops. Since the ring counter is made up of an array of D-type flip-flops (DFFs) triggered by a global clock signal it is possible to disable the clock signal to most DFFs. Such a gated-clock ring counter is implemented to compose a low-power first-in first-out (FIFO) memory. In this paper, we will review multi-bit flip-flop concepts, and introduce the benefits of using multi-bit flip-flops in our design. we proposed to use double-edge-triggered (DET) flipflops instead of traditional DFFs in the ring counter to halve the operating clock frequency. A novel approach using the C- elements instead of the R S flip-flops in the control logic for generating the clock-gating signals is adopted to avoid increasing the loading of the global clock signal. The technique will greatly decrease the loading on distribution network of the clock signal for the ring counter and thus the overall power consumption. The same technique is applied to the input driver and output driver of the memory part in the delay buffer. Then, we will show how to implement multi-bit flip-flop methodology using gated drive tree by XILINX Design Compiler. Experimental results indicate that multi-bit flip-flop using gated drive tree is very effective and efficient method in lower -power designs. Keywords-Clock power reduction, merging, multi-bit flip-flop, replacement, wire length. I.Introduction Portable multimedia and communication devices have experienced explosive growth recently. Longer battery life is one of the crucial factors in the widespread success of these products. As such, low -power circuit design for multimedia and wireless communication applications has become very important. In many such products, multi-bit flipflops and delay buffers (line buffers, delay lines) make up a significant portion of their circuits. Such serial access memory is needed in temporary storage of signals that are being processed, e.g., delay of one line of video signals, delay of signals within a fast Fourier transform (FFT) architectures, and delay of signals in a delay correlator. Currently, most circuits adopt static random access memory (SRAM) plus some control/addressing logic to implement delay buffers. For smaller -length delay buffers, shift register can be used instead. The former approach is convenient since SRAM compilers are readily available and they are optimized to generate memory modules with low power consumption and high operation speed with a compact cell size. T he latter approach is also convenient since shift register can be easily synthesized, though it may consume much power due to unnecessary data movement. Besides, for a design when considering power consumption, smaller flip-flops are replaced by larger multi-bit flip-flops, device variations in the corresponding circuit can be effectively reduced. As CMOS technology progresses, the driving capability of an inverter-based clock buffer increases significantly. The driving capability of a clock buffer can be evaluated by the number of

minimum -sized inverters that it can drive on a given rising or falling time. II.Multi Bit Flip-Flop Concept In this section, we will introduce multi-bit flip-flop conception. Before that, we will review single-bit flip-flop. Figure 2 shows an example of single-bit flip-flop. A single-bit flip-flop has two latches (Master latch and slave latch). The latches need Clk and Clk signal to perform operations, such as Figure 1 shows. the process technology advances into smaller geometry nodes like 65nm and beyond, the minimum size of clock drivers can drive more than one flip-flop.merging single-bit flip-flops into one multi-bit flip-flop can avoid duplicate inverters, and lower the total clock dynamic power consumption. The total area contributing to flip-flops can be reduced as well. By using multibit flip-flop to implement ASIC design, users can enjoy the follo wing benefits: Lower power consumption by the clock in sequential banked components Smaller area and delay, due to shared transistors and optimized transistor-level layout. Reduced clock skew in sequential gates Figure 1: Single-Bit Flip-Flop In order to have better delay from Clk-> Q, we will regenerate Clk from Clk. Hence we will have two inverters in the clock path. Figure 2 shows an example of merging two 1-bit flip-flops into one 2-bit flip-flop. Each 1-bit flipflop contains two inverters, master-latch and slave-latch. Figure 3: A dual-bit flip-flop cell. Fig 3shows an example of dual-bit flipflop cell. It has two data input pins, two data output pins, one clock pin and reset pin. Use dualbit flip-flop can get the benefits of lower power consumption then single -bit, and almost no other additional costs to pay. Figure 2: An example of merging two 1-bit flipflops into one 2-bit flip-flop. Due to the manufacturing rules, inverters in flip-flops tend to be oversized. As Fig 4: The true table of dual-bit flip-flop cell

Figure 4 shows the true table of dual-bit flip-flop cell. We could find that when CK is positive edge, the value of Q1 will pass to D1, and the value of Q2 will pass to D2. Or Q1 and Q2 will keep original value. III. Multi Bit Flip-Flop Methodology In the section, we will introduce that how to use Design Compiler and Faraday s multi -bit flip-flop to implement ASIC design. control the delivery of the local clock signal CLK to the DET flip -flops, and only the CKE signals along the path passing the global clock source to the local clock signal are active. The gate signal (CKE) can also be derived from the output of the DET flip-flops in the ring counter. The C-element is an essential element in asynchronous circuits for handshaking. A) The criteria of using multi-bit flip-flop Multi-bit flip-flop cells are capable of decreasing the power consumption because they have shared inverter inside the flip-flop. Meanwhile, they can minimize clock skew at the same time. To obtain these benefits, the ASIC design must meet the following requirements. The single-bit flip-flops we want to replace with multi-bit flip-flop must have same clock condition and same set/reset condition. When you set the variable hdlin_infer_multibit as default all, Design Compiler will use multi-bit flip-flop to replace bus type single-bit flip-flops. For non-bus condition, your must use create_multibit to identify the multi-bit flip-flop candidates. IV.Memory Organization between Each Mode In the proposed memory organization, several power reduction techniques are adopted. Mainly, these circuit techniques are designed with a view to decreasing the loading on high fan -out nets, e.g., clock and read/write ports. A) Ring Counter This ring counter proposed to replace the R S flip-flop by a C-element and to use treestructured clock drivers with gating so as to greatly reduce the loading on active clock drivers. Additionally, DET flip-flops are used to reduce the clock rate to half and thus also reduce the power consumption on the clock signal. The proposed ring counter with hierarchical clock gating and thecontrol logic is shown in above figure. Each block contains one C -element to B) Gated Driver Tree To save area, the memory module of a delay buffer is often in the form of an SRAM array with input/output data bus as in [6]. Special read/write circuitry, such as a sense amplifier, is needed for fast and low -power operations. However, of all the memory cells, only two words will be activated: one is written by the input data and the other is read to the output. Driving the input signal all the way to all memory cells seems to be a waste of power. The same can be said for the read circuitry of the output port. In light of the previous gated -clock tree technique, we shall apply the same idea to the input driving/output sensing circuitry in the memory module of the delay buffer. The memory words are also grouped into blocks. Each memory block associates with one DET flip-flop block in the proposed ring counter and one DET flip -flop output addresses a corresponding memory word for read -out and at the same time addresses the word that was read one-clock earlier for write-in.

V.Proposed System This proposed method is based on paper [6] which gives the idea of merging clock pulse.the working of single-bit D flip flop is similar to the D latch except that the output of D Flip Floptakes the state of the D input at the moment of a positive edge at the clock pin (or negative edge if the clock input is active low) and delays it by one clock cycle. That's why, it is commonly known as delay flips flop. The D Flip- Flop can be interpreted as a delay line or zero order hold. The advantage of the D flip-flop over the D-type "transparent latch" is that the signal on the D input pin is captured the moment the flipflop is clocked, and subsequent changes on the D input will be ignored until the next clock event. From the timing diagram in fig 1 it is clear that the output Q changes only at the positive edge [9]. At each positive edge the output Q becomes equal to the input D at that instant and this value of Q is held until the next positive edge. Timing diagram for SBFF Multi-bit Flip Flop which takes multiple data input and results in multiple data output. The working of multi-bit flip flop is same as single-bit flip flop, whenever the clock gets active state flip flop latches all input to output. For inactive state the flip flop holds the data.the basic structure of multi-bit flip flop is given in fig 5 and its corresponding waveform is given in fig 6. Fig 6: Timing for MBFF This paper experimented the proposed technique by designing the Serial-In Serial-Out using SBFF and MBFF separately. Designing of SISO has two reasons:- SISO is basic sequential device and easy to analyze. Another one is pipelining, SISO of n-bit register is nothing n- stage pipeline worked for many application such as Serial Bit Communication [7]. We analyze both existing and proposed design using basic sequential circuit of SISO. For existing system, Serial in serial out circuits are constructed by SBFF and MBFF which shown in fig 4 and fig 5. The operation described as arrival of a clock pulse, data at the D input of each flip-flop is transferred to its Q output. At the star, the contents of the register can be set to zero by means of the CLEAR line. If a 1 is to the input of the first flip flop. Then upon the arrival of the fist clock pulse, this 1 is transferred to the output of flip-flop 1. After four clock pulses this 1will be at the output of flip-flop 4. In this manner, a four bit number can be stored in the register. After four more clock pulses, this data will be shifted out of the register. Fig 5: MBFF Fig 7: SISO using SBFF

REFERENCES Top Module: Power: Fig 6: SISO using MBFF VI. Experimental Results VII Conclusion Using Multi-Bit Flip-flop in combination with gated tree drive is an effective and efficient implementation methodology to reduce the power consumption by merging single-bit flipflop. In this paper, we have implemented design with XILINX Design Compiler and Faraday s multi-bit flip-flop. Experimental results indicate that multi-bit flip-flop is very effective and efficient method in lower -power designs. We will use this methodology to implement real ASIC project in the future. [1]Ya-Ting Shyu, Jai-Ming Lin, Chun-Po Huang, Cheng-Wu Lin, Ying-Zu Lin, and Soon-Jyh Chang Effective and Efficient Approach for Power Reduction by Using Multi-Bit Flip- Flops IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS [2] P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L.Allmon, High -performance microprocessor design, IEEE J. SolidStateCircuits, vol. 33, no. 5, pp. 676 686, May 1998. [3] W. Hou, D. Liu, and P.-H. Ho, Automatic register banking for low-power clock trees, in Proc. Quality Electron. Design, San Jose, CA,Mar. 2009, pp. 647 652. [4] Eberle.W et al 2001 80-Mb/s QPSK and 72- Mb/s 64-QAM flexible and scalable digital OFDM transceiver ASICs for wireless local area networks in the 5-GHz band IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1829 1838. [5] Hosain.R, L. D. Wronshi, and albicki.a, 1994. Low power design using double edge triggered flip -flop, IEEE Trans. Very Large Scale Integr. (VLSI ) Syst., vol. 2, no. 2, pp. 261 265. [6] Y.-T. Chang, C.-C. Hsu, P.-H. Lin, Y.-W. Tsai, and S.-F. Chen, Post-placement power optimization with multi-bit flip-flops, inproc.ieee/acm Comput.-Aided Design Int. Conf., San Jose, CA, Nov. 2010,pp. 218 223. [7] Faraday Technology Corporation [Online]. Available: http://www.faradaytech.com/index.html [8] C. Bron and J. Kerbosch, Algorithm 457: Finding all cliques of anundirected graph, ACM Commun., vol. 16, no. 9, pp. 575 577, 1973. [9] CAD Contest of Taiwan [Online]. Available: http://cad_contest.csnctu.edu.tw/cad11