University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

Similar documents
AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Figure.1 Clock signal II. SYSTEM ANALYSIS

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

A Survey on Post-Placement Techniques of Multibit Flip-Flops

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Power Optimization by Using Multi-Bit Flip-Flops

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

A Power Efficient Flip Flop by using 90nm Technology

An FPGA Implementation of Shift Register Using Pulsed Latches

A CHARGE RECYCLING THREE-PHASE DUAL-RAIL PRE-CHARGE LOGIC BASED FLIP-FLOP

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

A Low Power Delay Buffer Using Gated Driver Tree

Design of an Efficient Low Power Multi Modulus Prescaler

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LFSR Counter Implementation in CMOS VLSI

Metastability Analysis of Synchronizer

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Lecture 7: Sequential Networks

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

COMP2611: Computer Organization. Introduction to Digital Logic

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

A Design Of A Low Power Delay Buffer Using Ring Counter Addressing Schemes

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Static Timing Analysis for Nanometer Designs

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

2.6 Reset Design Strategy

ISSN Vol.08,Issue.24, December-2016, Pages:

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

QDR SRAM DESIGN USING MULTI-BIT FLIP-FLOP M.Ananthi, C.Sathish Kumar 1. INTRODUCTION In memory devices the most

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Design of Testable Reversible Toggle Flip Flop

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Outline

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Reduction of Area and Power of Shift Register Using Pulsed Latches

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Dynamic Power Reduction in Sequential Circuit Using Clock Gating

Combinational / Sequential Logic

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

Design and Analysis of Modified Fast Compressors for MAC Unit

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Implementation of High Speed & Low Power Approach by Designing Multi-Bit Flip-Flops

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

Innovative Fast Timing Design

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

Chapter 4. Logic Design

Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

EE292: Fundamentals of ECE

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Transcription:

Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering, JNTUK, Kakinada, India-533003 3 Member of Technical Staff, Seerakademi, Hyderabad Abstract: In today's VLSI technology, power is the major issue with shrinking technology. Clock will play important role in the integrated circuits. In this paper, Multi-bit flip flop technique has been introduced to reduce clock power. The idea behind this technique is that clock power savings can be achieved by using multi-bit flip flop cell with optimized design. Recent works have been proposing methods using multi-bit flip flops in standard cell based designs, where single-bit flip flops are replaced by multi bit flip flop cells during logic & physical synthesis. In this paper a comprehensive comparison between conventional flip flop and MBFF implementations of an industrial 90nm design has been done. Sequential circuits has been designed using single-bit flip flop and multi-bit flip flop. Keywords: Multi-bit Flip flop, Dynamic Power reduction, Synthesis, Single-bit Flip flop, clock network I. INTRODUCTION Several lower power design techniques have played an important role in the design flow. Clock gating methodology is used for the register bank to replace the multiplexers and it can avoid the operation of reloading the same data value. The clock gating technique could reduce the dynamic power consumption efficiently. The multi-v th concept is aimed at using multi-v th cell with satisfying performance to reduce leakage consumption, and replace lower V th (LVT) cells by high V th (HVT) ones, if there is room for slack. Multiple Supply Multiple Voltage Design of different voltages are used for core logic, base on satisfy performance or functional requirement to adjust operating voltage for each domain, even shut off this domain. Fig 1: ASIC chip power distribution. Various approaches to minimize clock network including buffer sizing, register placement optimization and applying multi-bit registers or register banks or multi- bit flip-flops (MBFFs). Synopsys Design Compiler supports users to synthesis their design with multi-bit flip-flop methodology. In the next section, we briefly overview the multi-bit flip-flop conceptions. Section III presents how to use Synopsys Design Compiler and Synopsys s multi-bit flip-flop cell library to synthesis netlist. Section IV presents experiment results are shown. Finally conclusions are presented in Section V. II. MULTI-BIT FLIP FLOP CONCEPT In this section, Multi-bit Flip flop concept is explained. Before that, we will review about single-bit flip-flop. 388

A. Single-Bit Flip Flop (SBFF): It has two latches (Master latch and slave latch). The latches uses two clock phase signals to perform operations. These clock phase signals are opposite in order to have better delay. Hence there are cascaded inverters in the clock path. Fig 2 shows an example of single-bit flip-flop. Fig 2: one-bit Flip flop before merging B. Multi-Bit Flip Flop(MBFF): Fig 3 shows an example of dual-bit Flip flop. As shown in figure cascaded inverters used to generate the opposite clock phase signals used by the master and slave latches. As the process technology shrinks beyond the value, even a minimum number of inverter/buffer can still drive multiple flip-flops. By merging more number of 1-bit flip-flops with one multi-bit flip-flop (MBFF) will reduce the number of inverters. Fig 3: Dual-bit Flip flop after merging By combining one or more SBFF cells with MBFF cells results in optimized area and transistor-level layout by sharing part of the circuitry. In conjunction with area and power savings at the cell level, Multi-bit Flip flop cells has following advantages: A gate-level net list with MBFFs has a reduced of clock sinks in clock tree and dramatically reduces clock dynamic power. Lower power consumption due to fewer clock sinks. Smaller area and delay, due to shared clock drivers. Reduced clock skew in sequential gates As shown in Fig 4 Dual-bit Flip flop has two data input pins, two data output pins, one clock pin and reset pin. As shown in the truth table of dual-bit flip-flop cell. At positive edge of ck, the value of Q1,Q2 will pass to D1,D2. At negative edge of ck Q1 and Q2 will keep original value. Fig 4: Dual-bit flip flop cell 389

Table1: Truth table of Dual-bit Flip flop III. IMPLEMENTATION PROCESS Fig 5 shows the ASIC Design flow with MBFF optimization. Figure 6 shows Synthesis stage with MBFF optimization. Fig 5: ASIC Design flow using MBFF methodology Fig 6: Synthesis flow for MBFF methodology In the section, use of Design Compiler and Synopsys s multi-bit flip-flop to implement ASIC design has been introduced. A. Features of Multi-Bit Flip Flop Library Multi-bit component is a group of cells with identical functionality with same clock and set/reset condition. Design Compiler can invoke multi-bit flip-flop cell library to replace the original single-bit flip-flop structure. Multi-bit flip-flop cell library must have some feature as following: The D input pin has to be labeled as bundle. The flip-flop s function has be marked as ff_bank 390

Fig 7 shows a part of Faraday s multi-bit flip-flop cell library. Fig 7: Library requirement in Multi-bit flip flop B. Inferring Multi-Bit Components Design compiler uses multi-bit inference for all bused registers, multiplexers and three state circuits. There are two methodologies for inferring multi-bit components. First methodology involves directing multi-bit inference from the HDL source. The directives for verilog are infer_multibit and dont_infer_multibit. dc_shell variable controls multi-bit inference by using hdlin_infer_multibit [default_none default_all].second methodology involves directing multi-bit inference from a mapped design. C. Script Execution Flow Fig 8 show execution flow of commands in script using Design Compiler. Fig 8: Command execution flow D. Sequential Circuits In this paper, multi-bit flip flop technique implemented on sequential circuits. In this paper, single-bit flip flops and multi-bit Flip flop are analyzed. Shift Resisters such as serial-in serial-out register,universal shift register and Counters such as Ring counter are implemented for SBFF and MBFF. IV. EXPERIMENTAL RESULTS The analysis of sequential circuits are designed using MBFF and implemented using Synopsys's Design Compiler. The simulation results of SISO register, Universal Shift Register and Ring Counter, RAM are shown in the figure. Table shows power ratio for sequential circuits before and after merging Flip flop. Fig 9: Simulation result for SISO 391

Fig 10: Simulation result for Universal shift register Fig 11: Simulation result for Ring Counter Fig 12: Simulation result for 8 x 256 RAMS Table 2: Comparison result for Power V. CONCLUSION In this paper, we have implemented ASIC design with Synopsys Design Compiler and Synopsys s multi-bit flip-flop. Single bit flip flop and Multi-bit flip flop cells are implemented for Sequential Circuits to achieve low power using Synopsys Design Compiler with Multi-bit Cell Library. Experimental results indicate that Multi-bit flip-flop is very effective and efficient method in lowerpower designs. This methodology to implement real ASIC project in the future. 392

REFERENCES [1] Shyu Y.T, Lin J.M, Huang,C.P, Lin C.W, Lin Y.Z, Chang S.J, Effective and Efficient Approach for Power Reduction by using Multi-bit Flip-flop, IEEE transactions on Very Large Scale Integration (VLSI) systems, pp.624-635,april 2013. [2] L. Chen, A. Hung, H.-M. Chen, E. Tsai, S.-H. Chen, M.-H. Ku, and C.-C. Chen. Using multi-bit flip-flop for clock power saving by Design Compiler, in Proc. Synopsys Users Group [Online]. (2010). [3] Y. Kretchmer, Using multi-bit register inference to save area and power, EE Times Asia, May 24, 2001 [4] Y.-T. Chang, C.-C. Hsu, P.-H. Lin, Y.-W. Tsai, and S.-F. Chen, Post-placement power optimization with multi-bit flip-flops, in Proc. IEEE/ACM Comput.- Aided Design Int. Conf., San Jose, CA, Nov. 2010, pp. 218 223. [5] Mark Po-Hung Lin, Chih-Cheng Hsu, and Yao- Tsung Chang, Recent Research in Clock Power Saving with Multi-Bit Flip-Flops, Midwest Symposium on Circuits and Systems Conference IEEE, 2011 [6] Jin-Tai Yan and Zhi-Wei Chen, Construction of Constrained Multi-Bit Flip-Flops for Clock Power Reduction, Green Circuits and Systems (ICGCS) International Conference, 2010. [7] Chih-Cheng Hsu, Yao-Tsung Chang and Mark Po-Hung Lin, Crosstalk-Aware Power Optimization with Multi-Bit Flip-Flops, 17th Asia and South Pacific Design Automation Conference, 2012. [8] VCS/Design Compiler, Synopsys, Inc. [9] R. R. Pokala, R. A. Feretich, and R. W. c Guffin, Physical synthesis for performance optimization, in Proc. ASIC Conf., 1992, pp. 34 37. [10] C.-L. Chang, I. H.-R. Jiang, Y.-M. Yang, E. Y.-W. Tsai, and L. S.-F. Chen, INTEGRA: Fast multi-bit flip-flop clustering for clock power saving based on interval graphs, in Proc. ISPD, 2011, pp. 115 121. 393