Research Article VLSI Architecture Using a Modified SQRT Carry Select Adder in Image Compression

Similar documents
Research Article Low Power 256-bit Modified Carry Select Adder

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

Improved 32 bit carry select adder for low area and low power

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder

ISSN:

Modified128 bit CSLA For Effective Area and Speed

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

Design of Carry Select Adder using Binary to Excess-3 Converter in VHDL

An MFA Binary Counter for Low Power Application

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

Implementation of High Speed Adder using DLATCH

DESIGN OF HIGH PERFORMANCE, AREA EFFICIENT FIR FILTER USING CARRY SELECT ADDER

Design and Analysis of Modified Fast Compressors for MAC Unit

Design and Implementation of Low-Power and Area-Efficient for Carry Select Adder (Csla)

128 BIT MODIFIED CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER

Implementation of efficient carry select adder on FPGA

FPGA Implementation of Low Power and Area Efficient Carry Select Adder

An Efficient High Speed Wallace Tree Multiplier

FPGA IMPEMENTATION OF LOW POWER AND AREA EFFICIENT CARRY SELECT ADDER

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Parjoona V. and P. Manimegalai

VLSI IEEE Projects Titles LeMeniz Infotech

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3

LUT Optimization for Memory Based Computation using Modified OMS Technique

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

An Efficient Carry Select Adder

Implementation of Memory Based Multiplication Using Micro wind Software

ALONG with the progressive device scaling, semiconductor

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

Design of Modified Carry Select Adder for Addition of More Than Two Numbers

International Journal of Engineering Research-Online A Peer Reviewed International Journal

Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA

Efficient Implementation of Multi Stage SQRT Carry Select Adder

OMS Based LUT Optimization

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

A Novel Architecture of LUT Design Optimization for DSP Applications

Figure.1 Clock signal II. SYSTEM ANALYSIS

Optimization of memory based multiplication for LUT

Design of Memory Based Implementation Using LUT Multiplier

Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding

8/30/2010. Chapter 1: Data Storage. Bits and Bit Patterns. Boolean Operations. Gates. The Boolean operations AND, OR, and XOR (exclusive or)

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Design and Implementation of LUT Optimization DSP Techniques

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

Design of BIST with Low Power Test Pattern Generator

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

CHAPTER 4 RESULTS & DISCUSSION

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

A High-Speed Low-Power Modulo 2 n +1 Multiplier Design Using Carbon-Nanotube Technology

TYPICAL QUESTIONS & ANSWERS

Chapter 1: Data Storage. Copyright 2015 Pearson Education, Inc.

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

WINTER 15 EXAMINATION Model Answer

DESIGN OF LOW POWER AND HIGH SPEED BEC 2248 EFFICIENT NOVEL CARRY SELECT ADDER

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

ISSN (Print) Original Research Article. Coimbatore, Tamil Nadu, India

Research Article. ISSN (Print) *Corresponding author Shireen Fathima

SHORT bit-width ( 16 b) 2 s complement multipliers with

Power Optimization by Using Multi-Bit Flip-Flops

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

LFSR Counter Implementation in CMOS VLSI

FUNCTIONS OF COMBINATIONAL LOGIC

Aging Aware Multiplier with AHL using FPGA

gate symbols will appear in schematic Dierent of a circuit. Standard gate symbols have been diagram Figures 5-3 and 5-4 show standard shapes introduce

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Midterm Exam 15 points total. March 28, 2011

COMPUTATIONAL REDUCTION LOGIC FOR ADDERS

Design of Low Power Efficient Viterbi Decoder


IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

An Lut Adaptive Filter Using DA

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

A Parallel Area Delay Efficient Interpolation Filter Architecture

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Subject : EE6301 DIGITAL LOGIC CIRCUITS

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Color Image Compression Using Colorization Based On Coding Technique

THE USE OF forward error correction (FEC) in optical networks

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Low Power VLSI CMOS Design An Image Processing Chip for RGB to HSI Conversion

CS302 - Digital Logic & Design

Transcription:

Research Journal of Applied Sciences, Engineering and Technology 11(1): 14-18, 2015 DOI: 10.19026/rjaset.11.1670 ISSN: 2040-7459; e-issn: 2040-7467 2015 Maxwell Scientific Publication Corp. Submitted: November 10, 2014 Accepted: January 8, 2015 Published: September 05, 2015 Research Article VLSI Architecture Using a Modified SQRT Carry Select Adder in Image Compression 1 K. Indiradevi and 2 Dr. R. Shanmugalakshmi 1 Department of Electrical and Electronics Engineering, Sri Shakthi Institute of Engineering and Technology, 2 Department of Computer Science Engineering, Government College of Technology, Coimbatore, India Abstract: Designing a compressor with the parameters such as power, delay and area are most considerable metric in VLSI design. Compression process plays a vital role in image processing and the main building block is the. Image conversion is done in MATLAB and the compression is done using VHDL in VLSI. Finally, the compression ratio is predicted using MATLAB. CPA (Carry Propagation Adder) and CSA (Carry Save Adder) occupies more chip area than other adders. To overwhelm the problem this study proposes a modified Square Root Carry Select Adder (SQRT CSLA) in Dadda used in compression system. The modified SQRT CSLA performs faster than other adders and power consumption is low. Compression techniques pay more attention in image processing. In this study 4-2 compressor is employed to reduce the complexity. Keywords: Dadda, image compression, modified Square Root Carry Select Adder (SQRT CSLA) INTRODUCTION In recent communication technology Very Large Scale Integration (VLSI) circuits in low power becomes very important to design a high performance and convenient devices. The parameters such as speed, cost and area become most important parameters in VLSI. These parameters play a vital role in performance. More focus is given for consumption of low power to achieve high speed in low cost to occupy small area. Day by day the importance of low power VLSI is increasing because of its performance. In image processing it finds a strong application hence the VLSI tool is not a general purpose tool for systems. Imaging and video applications becomes fastest growing sector in day today life. It finds more application in medical science, machine vision, digital cameras, HDTV, security surveillance and set top box. Most powerful technique is image compression in image processing. The adder used in the compressor is to reduce the storage space required and to increase the data bit transfer rate. Preserving the image quality by increasing the reliability is preferred. It also find its application in nanoscale technology because of its higher speed it has become more important. Multiplication is the fundamental for all mathematical operations and finds its application in computation system and graphics in digital computer and in signal processing systems. Apart from addition and subtraction, more processing time and hardware resources are required for it. In VLSI technologies, there is a need to develop the chip design tools for continuous development. An algorithm was proposed (Pekmestzi, 1999) based on a different mechanism which consists of one bit of the and one bit of the multiplicand and both can be interchanged. The operand is used as a bit in each step. The circuit design is more complex since it consists of number of transistors and gates in CMOS VLSI technology. The compression of fractal image weaknesses was analyzed. The rate-distortion performance was encoded and decoding speed by considering cluster centres using Mean Shape-Gain Vector Quantization (MSGVQ) code book method (Raouf and Dietmar, 2000). This method is not competitive with the state-of-the-art wavelet coders and does not generate an embedded code because it allows scalability and progressive transmission. A low power by booth algorithm (Chen et al., 2003) was designed to reduce the implementation complexity. The proposed column based, row based and hybrid based s can design dynamic-range determination units. But reduction in switching activity is difficult. A new methodology was proposed with XOR and exclusive NOR for 4-2, 5-2 compressor (Chip-Hong et al., 2004) consumes low power and acquires sufficient drivability on CMOS technology at very low voltages. The 4-2 compressors display better efficient power and 5-2 compressor composed to carry generator module. Its operation is reflected in a tree structured. Corresponding Author: K. Indiradevi, Department of Electrical and Electronics Engineering, Sri Shakthi Institute of Engineering and Technology, Coimbatore, India This work is licensed under a Creative Commons Attribution 4.0 International License (URL: http://creativecommons.org/licenses/by/4.0/). 14

Two methods in lossy compression technique (Wei et al., 2006) were developed using JPEG 2000 for efficient entropy encoding and rate control. The specified bit rate distortion is minimized by rate control method for the specified bit rate. The authors proposed a Greedy Heap based Rate-Control algorithm (GHRaC), by implementing a greedy marginal analysis method to achieve efficient post compression rate control using the heap sort algorithm and for entropy coding an Integrated Rate-control and Entropy-Coding (IREC) algorithm reduces the complexity of computation. An automatically-determined Region of Interest (ROI) (Chen and Chih-Chang, 2007) scheme embedded in JPEG 2000 in video compression employed with Lagrangian to optimize ROI masks in order to reduce distortion. Locating ROI in an image with no obvious object or with complex background becomes semi-automatic. In this study a new technique is discussed to reduce delay and low power consumption for image compression in which an image is converted to hexadecimal from decimal using MATLAB. The compression is carried out in VLSI in which the 4-2 compression unit consists of a modified SQRT Carry Select Adder (CSLA). The modified SQRT CSLA (Square Root Carry Select Adder) adder uses 16 bit. PROPOSED METHODOLOGY An image is randomly selected in which the image is compressed, to be compressed the process is carried out in two steps. The first step consists of a converting the pixel values in the image to the hexadecimal values, which is executed in MATLAB. The second step is to compress the hexadecimal values using 4-2 compressor technique in VHDL. The third step is to calculate the compression ratio. The block diagram for the proposed study is given in Fig. 1. Image: A RGB image of 8 bit is selected and it is converted into gray scale. RGB stands for red, green and blue. It is represented from 0 to 255 in decimal. Gray scale image holds the information about intensity of each pixel. Pixel is the fundamental unit in a computer image and depends on resolution of an image. An image pixel has a value 0 for black and value 1 or 255 for white where the intensity level become weakest and strongest, respectively. These images are stored in a format like UINT8, UINT16, UINT32 and UINT64, double and single, JPEG, PNG, GIF, TIF, BMP, TIFF etc. Most commonly used image file extensions are.jpeg,.jpg,.bmp,.png,.tiff,.tif,.gif etc. UINT8, UINT16, UINT32 and UINT64 denotes unsigned 8, 16, 32 and 64 bit integer, respectively. This technique makes use of unsigned 8 bit integer. Hexadecimal: Hexadecimal is one of the numeral system. It uses the standard decimal from 0 to 9 and for 10 to 15 it takes English alphabet from A to F or a to f. A nibble is said to have one hexadecimal digit. 00 to FF represents two hexadecimal values. Since it is user friendly it finds more application in computer by programmers, system designers and digital electronics. Initially the uncompressed image of larger quantity in decimal is converted to hexadecimal which is executed in MATLAB. For image compression using VLSI design the 4-2 compressor receives hexadecimal as input for further process in order to transfer or store the image data efficiently. Compressor: A 3-2 compressor (Siliveru and Bharathi, 2013) is used in which has 3 inputs with 2 outputs (Fig. 2). A 3-2 static mirror compressor is employed to connect slow input with fastest output (Hsu et al., 2006). In this study a 4-2 compressor is utilized which compresses four partial products. The 4-2 compressor (Momeni et al., 2015) consists of series connected of two full adders which is designed with XOR, XNOR gates and Multiplexers. In the proposed Fig. 1: Proposed block diagram 15

Res. J. App. Sci. Eng. Technol., 11(1): 14-18, 2015 separately which consumes more power, high fabrication cost, reduced speed and more space. AOI in this modified SQRT CSLA is to calculate final sum and output carry becomes more efficient. Fig. 2: Schematic diagram of 4-2 compressor method the 4-2 compressor is designed with modified SQRT carry select adder. The proposed 4-2 compressor is shown in Fig. 3 which consists of 16 bit modified SQRT CSLA. It designed with Ripple Carry Adder (RCA) and OR Inverter (AOI), Binary to excess-1 converter and multiplexers. Ripple carry adder: A 16 bit ripple carry adder is employed in this method which consists of several full adders to build a logical unit adding n bit numbers. A logic circuit in which output of an adder is fed as input to next adder and each carry bit gets rippled into the next stage is a ripple carry adder. Its valid only when carry in occurs. RCA in this study perform calculation to get correct sum and carry. AND OR inverter: A simple AND OR inverter to calculate sum and carry is constructed of one NOR gate with two AND gates. More transistor gates are needed to implement AND, OR and NOT functions Binary to excess-1 converter: Binary to Excess-1 Converter consists of many full adders and half adders. The modified CSLA using BEC use AOI instead of either full adder or half adder which reduces area, power consumption and speed up the operation in calculating carry and sum. Multiplexer: A multiplexer also known as data selector has a select line to carry analog or digital signals. A select line carries one signal. For 2:1 multiplexer 1 select line is needed. Multiplexer reduces integrated circuit package number in turn reduces the cost. SIMULATION RESULTS Input image: Figure 4 is taken as input for the system. The size of the input image is 8 KB (8200 bytes). 4-2 compressor: The Dadda designed using modified SQRT CSLA has been developed using VHDL and synthesized in Xilinx ISE14.2. Figure 5 shows RTL schematic diagram of 4-2 compressor. Comparing the delay attained by Array, Booth, Vedic s (Sumit and Deepak, 2010) with proposed Dadda during compression of a 16 16 image is shown in Table 1. The delay attained by proposed Dadda is 18.641 nsec delay. Fig. 3: A 4-2 compressor 16

Table 1: Comparison between s with delay (nsec) Proposed Name of Array Booth Vedic dadda Delay (nsec) 16 16 bit 92.034 232.021 39.023 18.641 Fig. 4: Input image Table 2: Approximate s and their features Design Feature Multiplier 1 Design 1 in all columns Multiplier 2 Design 2 in all columns Multiplier 3 Design 1 in LSBs and exact compressor in MSBs Multiplier 4 Design 2 in LSBs and exact compressor in MSBs Multiplier 5 Approximate 2 2 blocks Table 3: Delay improvement in reduction circuitry design Design Improvement (%) Multiplier 1 3.38 Multiplier 2 5.52 Multiplier 3 3.24 Multiplier 4 4.51 Multiplier 5 0.00 Table 4: Macro statistics XOR utilization CSA Proposed modified SQRT CSLA Total XOR 30 16 1-bit XOR2 18 4 1-bit XOR3 12 12 Fig. 5: RTL schematic diagram of 4-2 compressor Table 2 shows the design and features of s in each group shown in Fig. 2. The reduction in circuitry for delay improvement is shown in Table 3. Fig. 6: Simulation result of compressed image in model SIM 17

REFERENCES Fig. 7: Output image The proposed modified SQRT CSLA utilizes XOR gate comparatively less with Carry Save Adder (CSA) is shown in Table 4. The simulation result of a compressed image is shown in Fig. 6 and the delay occurred by the proposed method seems to be better when compared with other s (Sumit and Deepak, 2010). Output image: The output image obtained is 5.34 KB (5478 bytes) is shown in Fig. 7. The compressed ratio is 66.80%. CONCLUSION An image is randomly selected to be compressed in two steps to calculate delay time. The first step is to convert the pixel values in the image to the hexadecimal values, which is executed in MATLAB. The second step is to compress the hexadecimal values in VHDL. In this proposed method image compression is performed by 4-2 compressor technique using modified SQRT CSLA. The delay metric is measured and compared with other s and the simulation result shows the measured performance metric is more efficient than the compared existing s. Chen, O.T.C., W. Sandy and W. Yi-Wen, 2003. Minimization of switching activities of partial products for designing low-power s. IEEE T. VLSI Syst., 11(3). Chen, O.T.C. and C. Chih-Chang, 2007. Automaticallydetermined region of interest in JPEG 2000. IEEE T. Multimedia, 9(7). Chip-Hong, C., G. Jiangmin and Z. Mingyan, 2004. Ultra low-voltage low-power CMOS 4-2 and 5-2 compressors for fast arithmetic circuits. IEEE T. Circuits-I, 51(10). Hsu, S.K., S.K. Mathew, M.A. Anders, B.R. Zeydel, V.G. Oklobdzija, R.K. Krishnamurthy and S.Y. Borkar, 2006. A 110 GOPS/W 16-bit and reconfigurable PLA loop in 90-nm CMOS. IEEE J. Solid-St. Circ., 41(1). Momeni, A., J. Han, P. Montuschi and F. Lombardi, 2015. Design and analysis of approximate compressors for multiplication. IEEE T. Comput., 64(4): 984-994. Pekmestzi, K.Z., 1999. Multiplexer-based array s. IEEE T. Comput., 48(1). Raouf, H. and S. Dietmar, 2000. Combining fractal image compression and vector quantization. IEEE T. Image Process., 9(2). Siliveru, A. and M. Bharathi, 2013. Design of compressor based using degenerate pass transistor logic. Int. J. Eng. Trends Tech., 4(4). Sumit, V. and D. Deepak 2010. Delay-power performance comparison of s in VLSI circuit design. Int. J. Comput. Netw. Commun., 2(4). Wei, Y., S. Fangting and J.E. Fritts, 2006. Efficient rate control for JPEG-2000. IEEE T. Circ. Syst. Vid., 16(5). 18