Level Converting Retention Flip-Flop for Low Standby Power Using LSSR Technique

Similar documents
Multi bit Retention Flip-Flop For Zigbee SoC s

Level Converting Retention Flip-Flop for Low Standby Power Using LSSR Technique

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Noise Margin in Low Power SRAM Cells

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

A Power Efficient Flip Flop by using 90nm Technology

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Power Optimization by Using Multi-Bit Flip-Flops

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs

LFSR Counter Implementation in CMOS VLSI

ISSN Vol.08,Issue.24, December-2016, Pages:

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

An FPGA Implementation of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

A Low-Power CMOS Flip-Flop for High Performance Processors

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Low Power D Flip Flop Using Static Pass Transistor Logic

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

A Low Power Delay Buffer Using Gated Driver Tree

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

International Journal of Scientific & Engineering Research, Volume 5, Issue 11, November-2014 ISSN

Design of an Efficient Low Power Multi Modulus Prescaler

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

P.Akila 1. P a g e 60

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

Optimized Magnetic Flip-Flop Combined With Flash Architecture for Memory Unit Based On Sleep Transistor

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications

DESIGN AND ANALYSIS OF ADDER CIRCUITS USING LEAR SLEEP TECHNIQUE IN CMOS TECHNOLOGIES

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

IoT-based Monitoring System using Tri-level Context Making for Smart Home Services

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

Figure.1 Clock signal II. SYSTEM ANALYSIS

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power

An efficient Sense amplifier based Flip-Flop design

Minimization of Power for the Design of an Optimal Flip Flop

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004

Transcription:

RESEARCH ARTICLE International Journal of Engineering and Techniques - Volume 4 Issue 1, Jan Feb 2018 Level Converting Retention Flip-Flop for Low Standby Power Using LSSR Technique 1 D.Naga Jyothi, 2 S.L.Siva Jyothi M-Tech, Dept. of ECE, Kakinada Institute of Engineering and Technology, Kakinada, AP. Assistant Professor, Dept. of ECE, Kakinada Institute of Engineering and Technology, Kakinada, AP. OPEN ACCESS Abstract In VLSI we have an exponential increase of leakage power due to scaling of threshold voltage. We have both active power and standby power dissipation. It is important to reduce standby leakage in case of small battery operated devices. A flip-flop will hold the logic only in the active mode of operation. But a retention flip-flop will hold the data even in the standby mode of operation, with continuously given supply exclusively for the retention latch. A level converting retention flip-flop is used to turn off the voltage regulator in the standby mode of operation, to reduce standby power dissipation. The proposed retention flip-flop will reduce the standby power dissipation, in which the retention latch was designed using LSSR (LECTOR (Leakage Control transistor) Stacked State Retention) technique. The slave latch of the proposed retention flipflop constructed by using thick oxide transistors, i.e. the length of the transistor has taken as 350nm. The architecture of retention flip-flop depends on VDD,IO scheme, in which VDD,core and VDD,IO are two different voltages. VDD,IO is higher than the VDD,core. The level up conversion from VDD,core to VDD,IO is achieved by NMOS pass transistor level conversion scheme, which is based on an always low signal transmission technique. The proposed retention flip-flop reduces the standby leakage compared to LECTOR based retention flip-flop, with small increase in area. The proposed retention flip-flop was designed in 130nm technology with 1.2V and 2.0V for core latch and retention latch respectively. The operating frequency is 20MHz, and the standby power is 255.8619pW. Keywords---VDD, ZigBee protocol. 1. INTRODUCTION In recent years, wireless sensor networks (WSNs) have been evolving at an accelerated pace. To build WSNs,the ZigBee protocol, in which medium access control andthe physical layer are defined by IEEE 802.15.4, has been generally used. Because the ZigBee protocol has low datarate and power specifications, its use can prolong battery life.this feature makes the ZigBee protocol preferred over other technologies such as 802.11. and Bluetooth. In addition,most ZigBee systemson-chips (SoCs) support a number of power modes including a standby mode that occupies thesystem 99.9% of the time to maximize the battery life.thus, standby power reduction is extremely important for minimizing the power consumption of ZigBeeSoCs standby power consumption ISSN: 2395-1303 http://www.ijetjournal.org Page 369

becomes more critical as the process technology scales down because the leakage current increases exponentially with the scaling threshold voltage (Vt) and thegate oxide thickness. To ensure that ZigBee SoCs can operate properly after returning to the active mode, the logic states containing hardware calibration, hardware configuration, and network routing information should be preserved before entering the standby mode. Data preservation is also required to achieve a smooth power mode transition between the standby mode and the active mode. Thus, retention flip-flops (RFFs) are used in many ZigBee SoCs for storing the logic states, and several types of RFFs have been widely researched. The remainder of this paper is organized as follows. The power management schemes to support the standby mode are explained in Section II. The SRAM-based and proposed RFFsare described in Section III. In Section IV, the SRAM-based RFF is compared with the proposed RFF, and the experimental results are presented in Section V. Finally, we conclude with a summary in Section VI. i)retention FLIPFLOP In flip-flops data is stored in crosscoupled inverters. Cross-coupled inverters can hold their states in the power down mode, if their inputs are properly gated. Based on this fact, simple clock and data gating circuitries are employed in flip-flops to retain their data in the power-down mode without using any extra datapreserving latches. The Flipflop used here is known as retention flipflop. Fig 1: Structure Of the SRAM based RFF The SRAM-based RFF uses access transistors to convert the voltage level. In the active mode, access transistors are turned on and perform write/read access. During write access, the access transistors provide differential write-access, enabling a conversion in the voltage level from VDD,core to VDD,IO when the clock is asserted high. The logic states at X and Y are the low and high VDD,core levels, ISSN: 2395-1303 http://www.ijetjournal.org Page 370

respectively, or vice versa. A low state is completely transferred to the retention latch through M5 (or M6), after which INV4 (or INV3) generates a highvdd,io level through M2 (or M1). A high VDD,core is transferred as (VDD,core Vt_thick) (Vt_thick is Vt of the thickoxide transistor) to the retention latch through M6 (or M5), after which the access transistor that transferred the high VDD,core is turned off, avoiding the creation of a dc current path. During read access, the access transistors supply the correct state to the VDD,core domain. In the standby mode, VDD,core is turned off, and the clock is asserted low before the access transistors are turned off; as a result, the retention latch supplied by VDD,IO is isolated by the access transistors and is able to hold data. Fig 2. Structure of proposed RFF The proposed level-converting RFF is shown in Fig. 3. The master latch is also based on a cross-coupled-inverter latch as the DFF, and an additional data transmission path (M3 and M1) is embedded. Both thinand thick-oxide transistors are used, and the core logic and retention logic are supplied by VDD,core and VDD,IO, respectively. Level-up conversion from the VDD,core domain in the master latch to the VDD,IO domain in the slave latch is achieved through an nmos pass-transistor levelconversion scheme similar to that developed in [18]. The proposed RFF operates as follows (Fig. 4). When nodes X and Y (or Y and X) in the master latch are high and low, respectively, an access transistor M4 (or M3) is turned on, and another access transistor M3 (or M4) is turned off. Then, the ON-state access transistor M4 (M3) forms a transmission path between the master latch and the slave latch, and node Y(X) becomes an input of the transmission path. In other words, only the transmission path from the master latch to the slave latch is determined on the basis of the state of data in the master latch, and the voltage level can be converted in the slave latch without generating a dc-current path because only a low signal is transmitted at all times. ii)stand BY POWER Standby power is the power consumed by an appliance or device when the device is not in use but is ready to be rapidly put into ISSN: 2395-1303 http://www.ijetjournal.org Page 371

use. Standby power is also called vampire draw, vampire power, phantom load or leaking electricity. iii)lssr TECHNIQUE A novel leakage power reduction technique is proposed in this work i.e LSSR [LECTOR]. Control TransistOR (LECTOR)) Stacked State Retention] The LECTOR method [2] inserts two extra Leakage Control Transistors (a P-type and an N-type) within the gate, in which the gate terminal of each Leakage Control Transistor is controlled by the source of the other.this technique have very good low leak operation but there is no provision of sleep mode of operation,to over come this problem.extra retention transistors are connected to the output so that during sleep mode the logic state is main- tained.hence we combine the advantages of LECTOR method and the Forced Stack Technique to reduce the overall power dissipation without compromise with the loss of state. II. SIMULATION 1.The BSIM4 MOS Model A family of models has been developed at the University of Berkeley for the accurate simulation of submicron technology. The Berkeley Short-channel IGFET Model (BSIM) exist in several version (BSIM1, BSIM2, BSIM3). The BSIM3v3 version, promoted by the Electronic Industries Alliance (EIA) is an industry standard for deep-submicron device simulation. A new MOS model, called BSIM4, has been introduced in 2000. A simplified version of this model is supported by Microwind2, and recommended for ultra-deep submicron technology simulation. BSIM4 still considers the operating regions described in MOS level 3 (linear for low Vds, saturated for high Vds, subthreshold for Vgs<Vt), but provides a perfect continuity between these regions. BSIM4 introduces a new region where the impact ionization effect is dominant. The number of parameters specified in the official release of BSIM4 is as high as 300. A significant portion of these parameters is unused in our implementation. We concentrate on the most significant parameters, for educational purpose. The set of parameters is reduced to around 20. where VTHO is the long channel threshold voltage at Vbs=0 (Around 0.5V), K1 is the first order body bias coefficient (0.5 V1/2), Fs is the surface potential, Vbs is the bulksource voltage, K2 is the second order body bias coefficient, DVtSCE is the short channel effect on Vt, DVtNULD is the nonuniform lateral doping effect, and DVtDIBL is the drain-induced barrier lowering effect of short channel on Vt. Concerning the ISSN: 2395-1303 http://www.ijetjournal.org Page 372

formulations for mobility of channel carriers, he generic parameter is U0, the mobility of electrons and holes. The effective mobility meff is reduced due to several effects: the bulk polarization, and the gate voltage. The equation implemented in Microwind2 is the most recent mobility model proposed in BSIM4. Temperature effects on the MOS The MOS device is sensitive to temperature. Two main parameters are concerned: the threshold voltage VTO and the transconductance coefficient KP that decrease with temperature increase. The physical background is the degradation of mobility of electrons and holes when the temperature increase, due to a higher atomic volume of the crystal underneath the gate, and consequently less space for the current carriers. III. SIMULATION RESULTS Fig:-3 Simulation output Fig:-4 Schematic Diagram Fig:-5 Layout IV.CONCLUSION An RFF that retains data using VDD,IO and performs level conversion using an embedded nmos pass-transistor levelconversion scheme employing a low-only signal- transmitting technique was proposed in this paper. The proposed RFF achieves ultralow-standby power by adopting a power management scheme to use VDD,IO for data retention and to turn off the voltage ISSN: 2395-1303 http://www.ijetjournal.org Page 373

regulator in the standby mode. The embedded level conversion scheme eliminates the need for an additional levelup converter in the proposed RFF. In addition, the retention latch in the proposed RFF is composed of a stacked structure with thick-oxide transistors to reduce the standby leakage current. As validated in the simulations and experiments, the proposed RFF is highly suitable for deployment in low-activity sensor networks using the ZigBee protocol. V. REFERENCES [1] W. Kluge et al., A fully integrated 2.4- GHz IEEE 802.15.4-compliant transceiver for igbee applications, IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2767 2775, Dec. 2006. [2] J.-S. Lee, Y.-W. Su, and C.-C. Shen, A comparative study of wireless protocols: Bluetooth, UWB, ZigBee, and Wi-Fi, IEEE Wireless Commun., vol. 14, no. 4, pp. 70 78, Nov. 2007. [3] J. Hu, W. Liu, W. Khalil, and M. Ismail, Increasing sleep-mode efficiency by reducing battery current using a DC-DC converter, in Proc. IEEE Int. MWSCAS, Aug. 2010, pp. 53 56. [4] S. Liang, Y. Tang, and Q. Zhu, Passive wake-up scheme for wireless sensor networks, in Proc. Int. CICIC, Sep. 2007, p. 507 [5] Y.-I. Kwon, S.-G. Park, T.-J. Park, K.-S. Cho, and H.-Y. Lee, An ultra low-power CMOS transceiver using various low-power techniques for LR-WPAN applications, IEEE Trans. Circuits Syst. I, vol. 59, no. 2, pp. 324 336, Feb. 2012. [6] M. Albano and S. Chessa, Data centric storage in ZigBee wireless sensor networks, in Proc. IEEE ISSCC, Jun. 2010, pp. 330 335. [7] S. Shigematsu, S. Mutoh, Y. Matsuya, Y. Tanabe, and J. Yamada, A 1-V high-speed MTCMOS circuit scheme for power-down application circuits, IEEE J. Solid-State Circuits, vol. 32, no. 6, pp. 861 869, Jun. 1997. [8] L. T. Clark, M. Kabir, and J. E. Knudsen, A low standby power flipflop with reduced circuit and control complexity, in Proc. IEEE CICC, Sep. 2007, pp. 571 574. [9] V. Zyuban and S. V. Kosonocky, Low power integrated scan-retention mechanism, in Proc. ISLPED, Aug. 2002, pp. 98 102. [10] H. Mahmoodi-Meimand and K. Roy, Data-retention flip-flops for power-down applications, in Proc. ISCAS, vol.2. May. 2004, pp. 677 80. Authors Profile ISSN: 2395-1303 http://www.ijetjournal.org Page 374

Ms D.NagaJyothi hailed from kakinada born on 12th July 1993 has completed B.Tech in Electronics and Communication engineering at V.S.Lakshmi Engineering college for women. At Present She is pursuing M.Tech in VLSI design, at Kakinada Institute of Engineering and Technology, Kakinada, AP. E-mail:- Lakshmisrijadhanisetti@gmail.com Ms. S.L.SivaJyothi, hailed from Yanam UT of Pondicherry, has Received Mtech. in Embedded Systems, from BVC Engineerig college of odalarevu is Presently she is working as Assistant Professor in Department of Electronics and Communication Engineering at Kakinada Institute of Engineering and Technology College Kakinada, AP, E-mail: -pragjyodev.s@gmail.com ISSN: 2395-1303 http://www.ijetjournal.org Page 375