Design and Evaluation of a Low-Power UART-Protocol Deserializer

Similar documents
Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

P.Akila 1. P a g e 60

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Project 6: Latches and flip-flops

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

A Low-Power CMOS Flip-Flop for High Performance Processors

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

A Design for Improved Very Low Power Static Flip Flop Using Two Inverters and Five NORs

LFSR Counter Implementation in CMOS VLSI

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Retiming Sequential Circuits for Low Power

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

A Low Power Delay Buffer Using Gated Driver Tree

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Digital Fundamentals. Lab 5 Latches & Flip-Flops CETT Name: Date:

Power Optimization by Using Multi-Bit Flip-Flops

Digital Fundamentals

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Chapter 5 Flip-Flops and Related Devices

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

Dual Slope ADC Design from Power, Speed and Area Perspectives

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

Chapter 4. Logic Design

Logic Design. Flip Flops, Registers and Counters

Chapter 2. Digital Circuits

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

Comparative study on low-power high-performance standard-cell flip-flops

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

VLSI Chip Design Project TSEK06

Laboratory 4. Figure 1: Serdes Transceiver

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

CMOS DESIGN OF FLIP-FLOP ON 120nm

Power Reduction Techniques for a Spread Spectrum Based Correlator

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Universal Asynchronous Receiver- Transmitter (UART)

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

A Symmetric Differential Clock Generator for Bit-Serial Hardware

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

A Power Efficient Flip Flop by using 90nm Technology

Final Exam review: chapter 4 and 5. Supplement 3 and 4

ELE2120 Digital Circuits and Systems. Tutorial Note 7

Asynchronous (Ripple) Counters

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

PICOSECOND TIMING USING FAST ANALOG SAMPLING

Design of Fault Coverage Test Pattern Generator Using LFSR

WINTER 15 EXAMINATION Model Answer

Chapter 7 Counters and Registers

ELEN Electronique numérique

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

II. ANALYSIS I. INTRODUCTION

INF4420 Project Spring Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC)

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Low Power D Flip Flop Using Static Pass Transistor Logic

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

Load-Sensitive Flip-Flop Characterization

FLIP-FLOPS AND RELATED DEVICES

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

2.6 Reset Design Strategy

Design of Low Power Universal Shift Register

Computer Architecture and Organization

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

Power-Optimal Pipelining in Deep Submicron Technology

Design of Conditional-Boosting Flip-Flop for Ultra Low Power Applications

Combinational vs Sequential

Digital Integrated Circuits EECS 312

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

Scan. This is a sample of the first 15 pages of the Scan chapter.

Transcription:

1 Design and Evaluation of a Low-Power UART-Protocol Deserializer Casey T. Morrison, William Goh, Saeed Sadrameli, and Eric Blattler Abstract The and evaluation of a low-power Universal Asynchronous Receiver/Transmitter (UART)-protocol deserializer is presented. Three separate techniques are employed to reduce power consumption on this, a common device used in serial communications: (1) State-machine-controlled global clock gating, (2) data-dependant local clock gating, and (3) low-v DD supply. The benefits of employing all three techniques are quantified over a range of parameters. Comparisons are made between this and one that does not implement the aforementioned powerreducing techniques. Index Terms Low-power, UART, clock gating, NC2MOS T I. INTRODUCTION HE of low-power data paths is a well-studied topic with major implications, especially for portable or highperformance applications. Several techniques for low-power have been proposed and evaluated by [1] and [2], including parallel data paths, supply voltage scaling, and gated clocks. Many of these techniques have been shown to produce marked power savings, especially when used in conjunction with other techniques. In addition, several novel low-power flip-flop s have been proposed. One such, [3], is utilized in this paper as a means to implement local clock gating. This technique is used in conjunction with statemachine-controlled global clock gating and a low-v DD supply to minimize power dissipation in the target. This three-fold power reduction technique is applied to a UART-protocol deserializer. UART serializers and deserializers are common devices used in many applications requiring a serial interface. Most microcontrollers are ed to have at least one UART interface. One obvious application for the lowpower UART proposed in this paper is in ultra-lowpower sensor devices. Battery-powered sensors must acquire and transmit data (often serially) with minimal power consumption. As an Intellectual Property (IP) core or as a stand-alone device, the presented in this paper takes steps towards significantly reducing the power consumption for a UART serial interface. As with most power-reducing techniques, there exist tradeoffs in this. A power reduction of more than 55% can be achieved with a limited increase in area and delay. While the area, delay, and power tradeoffs presented in this paper may be acceptable for the targeted application, this may not be the case for other applications. II. ARCHITECTURE AND DESIGN This explores the effects of a hierarchical approach to power reduction. Different techniques are employed at different levels of the hierarchy. Fig. 1. UART serial communication protocol with eight data bits, no parity, one start bit, and one stop bit. TABLE I PHYSICAL AND ELECTRICAL SPECIFICATIONS Parameter Value V DD 1.5 V V DD 2.5 V Technology TSMC 0.25 µm Deep Submicron Supported baud rates All standard baud rates up to 41.67 Mbaud Input clock frequency 2.4 KHz f CLK 333.3 MHz Clock duty cycle 50% Core logic dimensions 163.68 µm x 114.72 µm = 0.0188 mm 2 Total die dimensions 960.18 µm x 959.94 µm = 0.9217 mm 2 Number of pmosfets 720 Number of nmosfets 600 A. Specifications and Requirements The presented in this paper is a UART-protocol deserializer which can be used as the receiving end of a serial interface. The UART protocol is an asynchronous (i.e. clockless) serial communications protocol. Fig. 1 shows the particular data format implemented in this. Idle receive periods are characterized by a high receive signal. The start of a transmission is marked by a low start bit which is immediately followed by eight data bits, least-significant bit (LSb) first. The end of a transmission is marked by a high stop bit. Some variations of this data format call for a parity bit immediately following the data bits, but no such feature is implemented in this. The physical and electrical specifications for this are listed in Table I. B. Power Reduction Strategy Three techniques for reducing power dissipation are utilized in this. 1) State-machine-controlled global clock gating: The UART serial protocol lends itself well to state-machine-controlled global clock gating. When the serial receive input is idle (high) in between transmissions, there is no need to provide a clock to the majority of flip-flops in the deserializer circuit. The only flip-flops that require an uninterrupted clock are the receive detection flip-flops that constantly sense the receive input for an incoming transmission. The state machine for the proposed deserializer is ed to cut off the clock signal to most flip-flops during the Idle state. When incoming data is detected (an event known as RX detect ), the state machine then restarts the internal clock to process the received data. This technique inherently reduces power consumption during idle periods.

Fig. 2. Simplified functional diagram for the NC 2 MOS flip-flop. 2) Data-dependant local clock gating flip-flops: The backbone of the deserializer is ed upon a novel NC 2 MOS flip-flop [3]. NC 2 MOS uses traditional master and slave latches with the addition of clock gating and a comparator. Fig. 2 shows a simplified functional diagram for this flip-flop. The comparator compares the output-q with the input-d. When these signals are equivalent, the local clock is gated off. When the comparator detects a change, it generates a pulse for the master and slave latch to store the new output value. The flipflop clock load is small as it only drives a single nmosfet and pmosfet. This also requires no external clock inverter to drive the flip-flop. The in [3] has been modified to be a positive-edge-triggered flip-flop with asynchronous set and clear. The tradeoff of the NC 2 MOS is the layout area because it requires additional circuitry for the comparator and clock gating. Compared to a similar flip-flop from the MOSIS standard cell library, the NC 2 MOS flip-flop consumes 56.82% more area (Area MOSIS = 11,664 λ 2, Area NC2MOS = 18,291 λ 2 ). However, the NC 2 MOS flip-flop has 72% less input clock load than its MOSIS counterpart. This reduction in clock load combined with the data-dependant local clock gating results in a significant decrease in average power consumption one that is more pronounced as the activity rate for the flip-flop is decreased. 3) Low-V DD supply: Lowering the power supply voltage quadratically reduces the dynamic power dissipation of the system according to the formula 2 L f V DD P = C, where C L is the capacitive load, f is the operating frequency, and V DD is the supply voltage. It has been proposed by [5] that scaling the supply voltage as far down as 250 mv for a 0.25 µm technology produces the optimum energy-delay product. The side-effect of this technique is an increase in propagation delay. An increase in delay does not drastically impact this for two main reasons. First of all, standard baud rates typically fall in the 300 baud to 2 Mbaud range, which translates to a relatively slow input clock frequency in the range of 2.4 KHz to 16 MHz. Secondly, most of the logic in this runs from a divide-by-eight clock, which results in a relatively long computation time of about 60 ns T clk-q T setup. The few paths that do run at the true input frequency have very little combinational logic in between flip-flops, so the increase in delay caused by reducing V DD does not have a significant impact on the datapath delay. C. High-Level Architecture The proposed deserializer architecture was first implemented in Verilog HDL. Once simulations verified proper functionality, the was then hand-translated into a graphical representation in Quartus software using standard logic gates. Finally, once simulations re-affirmed proper 2 functionality, the was then implemented at the transistor level in Cadence. This consists of six modules: clock generation, receive detection (RX detect), receive state machine (RSM), receive shift registers (RSR), receive hold registers (RHR), and status signal generation. Fig. 3 illustrates the high-level architecture of this. The serial receive input is constantly sampled by the RX detect circuit, and when an incoming data transmission is detected, the RSM will transition from the Idle state to the Shift state. While in the Shift state, data on the RX input is serially shifted into the RSR. Once eight bits of data is shifted in, the RSM transitions to the Load state in which data is transferred in parallel from the RSR to the RHR, and the RXRDY flag is asserted high. Data in the RHR is asserted on the DATA[7..0] bus when the active-low READN signal is asserted. If this does not occur before the next transmission is received, then an OVERRUN error will be asserted indicating that the RHR has been corrupted with new data. D. Physical Design The physical of this deserializer was carried out in a structured and consistent manner, using many of the conventions suggested by [6] and [7]. The layout is partitioned according to Fig. 5. As a stand-alone integrated circuit (IC), this is heavily I/O-bound in terms of the die area. With fourteen pins, the area enclosed by the pad frame is significantly larger than the area required for the logic. As a hard macro IP, the is fairly compact and can easily be integrated into larger-scale layouts. Fig. 3. Top-level architecture for a low-power UART-protocol deserializer. Fig. 4. Low-power deserializer core logic layout.

Fig. 5. I/O positions relative to the core logic layout. Green pads represent inputs, red pads represent outputs (or bi-directional I/Os), and blue pads represent supplies. 3 flip-flop, the control uses a standard flip-flop from the MOSIS SCMOS standard cell library. In addition, the control deserializer does not implement any clock gating during the Idle state. Each is simulated for different levels of signal activity and for different values of V DD. The activity rate, α, used in this analysis is defined as active time α =, ( active time) + ( idle time) where active time is the time during which the serial RX input is active, and idle time is the time during which the serial RX input is idle (high). Different activity rates are achieved by adjusting the idle time between transmissions. In reality, serial communication lines experience varying degrees of idleness. The proposed obtains its best power savings during periods of low activity, when the internal clock is shut down by the RSM. Table II shows the results of the power analysis. Fig. 8 and Fig. 9 illustrate the dependence of power dissipation on activity rate and core voltage. As expected, the improvement in power dissipation achieved by the proposed is most pronounced during low-activity periods. This trend is evident in Fig. 10. The control is less dependant on activity rate since it does not implement clock gating during idle periods. Fig. 6. Low-power deserializer layout with I/O pad frame. III. DESIGN EVALUATION The proposed deserializer was evaluated using a combination of functional tests and performance characterizations. In addition to module-level simulations, rule checking (DRC), and layout versus schematic (LVS) checking, extensive simulations were conducted at the top level. A. Functional Verification To ensure a functionally sound circuit, this was simulated over a range of input combinations. Fig. 7 shows a functional simulation during which two separate serial transmissions are received. This waveform demonstrates proper functioning of the RXRDY and OVERRUN status signals, as well as the state-machine-controlled global clock gating. After the second transmission is received, the internal clock (RX_CLK) is turned off, and the OVERRUN error is asserted indicating that the second byte that was received has overwritten the first. B. Power Analysis To evaluate the power performance of this, a control was used as a baseline for comparison. The control is functionally identical to the proposed, except it does not implement either of the clock gating techniques utilized in the proposed. Instead of using the NC 2 MOS Fig. 7. Functional simulation waveform. Acivity rate Core voltage Total Energy for Low-Power TABLE II SIMULATION RESULTS Total Energy for Control Energy Difference Total Power for Low-Power Total Power for Control Power Difference Percent Energy/Power Improvement α V DD E low- power E control E P low- power P control P PI [%] [V] [pj] [pj] [pj] [µw] [µw] [µw] [%] 2.5 0.920 1.043 0.123 230.010 260.763 30.753 11.79% 75% 2.1 0.698 0.699 0.001 146.630 146.872 0.241 0.16% 1.8 0.571 0.585 0.013 102.816 105.233 2.417 2.30% 1.5 0.449 0.417-0.033 67.383 62.487-4.896-7.84% 2.5 0.805 0.982 0.177 201.368 245.548 44.180 17.99% 60% 2.1 0.616 0.669 0.053 129.438 140.486 11.048 7.86% 1.8 0.501 0.553 0.052 90.232 99.549 9.317 9.36% 1.5 0.393 0.399 0.006 59.001 59.900 0.898 1.50% 2.5 0.691 0.922 0.231 172.650 230.400 57.750 25.07% 45% 2.1 0.534 0.640 0.106 112.161 134.316 22.155 16.49% 1.8 0.430 0.518 0.088 77.472 93.281 15.809 16.95% 1.5 0.337 0.383 0.045 50.580 57.402 6.822 11.88% 2.5 0.576 0.855 0.279 143.978 213.625 69.648 32.60% 30% 2.1 0.452 0.610 0.157 94.975 128.045 33.071 25.83% 1.8 0.359 0.492 0.133 64.703 88.598 23.895 26.97% 1.5 0.281 0.369 0.088 42.222 55.409 13.187 23.80% 2.5 0.461 0.798 0.336 115.300 199.375 84.075 42.17% 15% 2.1 0.370 0.580 0.210 77.742 121.758 44.016 36.15% 1.8 0.289 0.462 0.173 51.948 83.088 31.140 37.48% 1.5 0.225 0.349 0.124 33.780 52.412 18.632 35.55% 2.5 0.372 0.835 0.463 93.015 208.663 115.648 55.42% 0% 2.1 0.288 0.576 0.288 60.467 120.939 60.472 50.00% 1.8 0.220 0.477 0.257 39.575 85.869 46.294 53.91% 1.5 0.171 0.347 0.176 25.703 52.055 26.352 50.62%

4 Fig. 8. Power dissipation for the proposed versus core voltage and activity rate. Fig. 11. Power dissipation improvement versus activity rate as different powerreducing features are added. Fig. 9. Power dissipation for the control versus core voltage and activity rate. Fig. 12. Power dissipation for the two s versus activity rate. Although power dissipation is significantly reduced by lowering V DD, it is worthwhile to note that the improvement in power dissipation achieved by the proposed is 5-12% higher for high V DD as compared to low V DD. This indicates that supply scaling is more effective for the control and only moderately effective for the proposed. Fig. 10. Power dissipation improvement versus activity rate. IV. CONCLUSIONS This paper has demonstrated how a combination of techniques can yield significant power consumption reduction in a UART-protocol deserializer. Each technique, however, has a cost associated with it. Implementing state-machine-controlled global clock gating produces up to a 45% improvement in power consumption and requires only a small increase in area to implement the clock gating logic. Data-dependant local clock gating using NC 2 MOS flip-flops can improve the power consumption by an additional 10-12% (see Fig. 11). However, this feature has a multiplicative cost associated with it, in that each flip-flop is about 57% larger compared to a standard. REFERENCES [1] A. P. Chandrakasan and R. W. Brodersen, Minimizing power consumption in digital CMOS circuits, Proceedings of the IEEE, vol. 83, no. 4, April 1995, pp. 498-523. [2] A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, Low-Power CMOS Digital Design, IEEE Journal of Solid-State Circuits, vol. 27, no. 4, April 1992, pp. 473-484. [3] M. Aguirre-Hernandez and M. Linares-Aranda, A Clock-Gated Pulse- Triggered D Flip-Flop for Low-Power High-Performance VLSI Synchronous Systems, Proceedings of the 6 th International Caribbean Conference on Devices, Circuits and Systems, April 2006, pp. 293-297. [4] Q. Wu, M. Pedram, and X. Wu, Clock Gating and its Applications to Low Power Design of Sequential Circuits, IEEE Transactions on Circuits and Systems, vol. 47, no. 103, March 2000, pp. 415-420. [5] R. Gonzalez, B. Gordon, and M. A. Horowitz, Supply and Threshold Voltage Scaling for Low Power CMOS, IEEE Journal of Solid-State Circuits, vol. 32, no. 8, August 1997, pp. 1210-1216. [6] J. M. Rabaey, A. Chandrakasan, and B. Nikolić, Digital Integrated Circuits. Pearson Education, 2003, pp. 384-398. [7] A. Neureuther. (2006, January). Standard Cell Template Definitions. Berkley EE141 course website. [Online]. Available: http://bwrc.eecs.berkeley.edu/classes/icdesign/ee141_s00/project/stan DARD%20CELL%20TEMPLATE%20DEFINITIONS_.htm

Casey T. Morrison (M 02) received a B.S. in computer engineering and a M.S. in electrical engineering from the University of Florida, Gainesville, in 2005 and 2007, respectively. He will begin working for Texas Instruments ASIC business unit in Dallas, TX in May 2007 as a digital engineer. He has held internship positions with Texas Instruments, Honeywell Inc., and Florida Power and Light Co. 5 William Goh received a B.S. in electrical engineering from the University of Florida, Gainesville, in Spring 2007. Currently, he is working on his M.S. in electrical engineering, which he will receive in May 2008. He has been working with Dr. Karl Gugel at Digital Control Lab (DCL) for almost 2 years as a technical engineer. He is currently conducting research for the Brain Machine Interface group headed by Dr. Principe. He will be interning for Texas Instruments over the Summer of 2007 with the MSP430 Applications group. Eric Blattler (M 05) received a B.S. in computer engineering and a M.S. in electrical engineering from the University of Florida, Gainesville, in the Spring and Fall of 2007, respectively. He will be interning with Harris Corporation as a test engineer this summer. He has held internship positions and projects with Northrop Grumman Corporation and Honeywell. Saeed Sadrameli earned his B.S. in electrical engineering from the University of Florida, Gainesville, in 2006 and is currently pursuing his M.S. in electrical engineering at the University of Florida. He worked with Rockwell Collins as an intern during the summer of 2006. As a member of SIMICS group at the University of Florida, he has also conducted research in high frequency RF CMOS since 2005.