Line Signaling and FEC Performance Comparison for 25Gb/s 100GbE IEEE Gb/s Backplane and Cable Task Force Chicago, September 2011

Similar documents
Measurements and Simulation Results in Support of IEEE 802.3bj Objective

Approach For Supporting Legacy Channels Per IEEE 802.3bj Objective

PAM-2 on a 1 Meter Backplane Channel

Simulations of Duobinary and NRZ Over Selected IEEE Channels (Including Jitter and Crosstalk)

Comparison of NRZ, PR-2, and PR-4 signaling. Qasim Chaudry Adam Healey Greg Sheets

Duobinary Transmission over ATCA Backplanes

100Gb/s Single-lane SERDES Discussion. Phil Sun, Credo Semiconductor IEEE New Ethernet Applications Ad Hoc May 24, 2017

Ali Ghiasi. Nov 8, 2011 IEEE GNGOPTX Study Group Atlanta

MR Interface Analysis including Chord Signaling Options

Clause 74 FEC and MLD Interactions. Magesh Valliappan Broadcom Mark Gustlin - Cisco

Summary of NRZ CDAUI proposals

FEC Options. IEEE P802.3bj January 2011 Newport Beach

Comment #147, #169: Problems of high DFE coefficients

Backplane NRZ FEC Baseline Proposal

Brian Holden Kandou Bus, S.A. IEEE GE Study Group September 2, 2013 York, United Kingdom

Draft Baseline Proposal for CDAUI-8 Chipto-Module (C2M) Electrical Interface (NRZ)

52Gb/s Chip to Module Channels using zqsfp+ Mike Dudek QLogic Barrett Bartell Qlogic Tom Palkert Molex Scott Sommers Molex 10/23/2014

Update on FEC Proposal for 10GbE Backplane Ethernet. Andrey Belegolovy Andrey Ovchinnikov Ilango. Ganga Fulvio Spagna Luke Chang

CDAUI-8 Chip-to-Module (C2M) System Analysis #3. Ben Smith and Stephane Dallaire, Inphi Corporation IEEE 802.3bs, Bonita Springs, September 2015

Presentation to IEEE P802.3ap Backplane Ethernet Task Force July 2004 Working Session

FEC Architectural Considerations

Further Investigation of Bit Multiplexing in 400GbE PMA

New Serial Link Simulation Process, 6 Gbps SAS Case Study

100G EDR and QSFP+ Cable Test Solutions

Problems of high DFE coefficients

CAUI-4 Chip to Chip Simulations

FEC IN 32GFC AND 128GFC. Scott Kipp, Anil Mehta June v0

Further Studies of FEC Codes for 100G-KR

32 G/64 Gbaud Multi Channel PAM4 BERT

CDAUI-8 Chip-to-Module (C2M) System Analysis. Stephane Dallaire and Ben Smith, September 2, 2015

Practical Receiver Equalization Tradeoffs Applicable to Next- Generation 28 Gb/s Links with db Loss Channels

400GbE AMs and PAM4 test pattern characteristics

Performance comparison study for Rx vs Tx based equalization for C2M links

System Evolution with 100G Serial IO

Proposed reference equalizer change in Clause 124 (TDECQ/SECQ. methodologies).

The Case of the Closing Eyes: Is PAM the Answer? Is NRZ dead?

New Results on QAM-Based 1000BASE-T Transceiver

LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES. Masum Hossain University of Alberta

802.3bj FEC Overview and Status IEEE P802.3bm

100G PSM4 & RS(528, 514, 7, 10) FEC. John Petrilla: Avago Technologies September 2012

50 Gb/s per lane MMF objectives. IEEE 50G & NGOATH Study Group January 2016, Atlanta, GA Jonathan King, Finisar

Ali Ghiasi. Jan 23, 2011 IEEE GNGOPTX Study Group Newport Beach

COM Study for db Channels of CAUI-4 Chip-to-Chip Link

XLAUI/CAUI Electrical Specifications

PAM8 Baseline Proposal

100GEL C2M Channel Reach Update

Next Generation Ultra-High speed standards measurements of Optical and Electrical signals

IMPACT ORTHOGONAL ROUTING GUIDE

A 90 Gb/s 2:1 Multiplexer with 1 Tap FFE in SiGe Technology

Exceeding the Limits of Binary Data Transmission on Printed Circuit Boards by Multilevel Signaling

64G Fibre Channel strawman update. 6 th Dec 2016, rv1 Jonathan King, Finisar

HMC-C060 HIGH SPEED LOGIC. 43 Gbps, D-TYPE FLIP-FLOP MODULE. Features. Typical Applications. General Description. Functional Diagram

The Challenges of Measuring PAM4 Signals

More Insights of IEEE 802.3ck Baseline Reference Receivers

Transmitter Specifications and COM for 50GBASE-CR Mike Dudek Cavium Tao Hu Cavium cd Ad-hoc 1/10/18.

Analysis of Link Budget for 3m Cable Objective

Component BW requirement of 56Gbaud Modulations for 400GbE 2 & 10km PMD

Practical De-embedding for Gigabit fixture. Ben Chia Senior Signal Integrity Consultant 5/17/2011

HMC-C064 HIGH SPEED LOGIC. 50 Gbps, XOR / XNOR Module. Features. Typical Applications. General Description. Functional Diagram

Analysis of Link Budget for 3m Cable Objective

Proposal for 10Gb/s single-lane PHY using PAM-4 signaling

SI Analysis & Measurement as easy as mobile apps ISD, ADK, X2D2

New Technologies for 6 Gbps Serial Link Design & Simulation, a Case Study

Features. For price, delivery, and to place orders, please contact Hittite Microwave Corporation:

A Way to Evaluate post-fec BER based on IBIS-AMI Model

PAM4 signals for 400 Gbps: acquisition for measurement and signal processing

CAUI-4 Application Requirements

10 Gb/s Duobinary Signaling over Electrical Backplanes Experimental Results and Discussion

50GbE and NG 100GbE Logic Baseline Proposal

HMC958LC5 HIGH SPEED LOGIC - SMT. Typical Applications. Features. Functional Diagram. General Description

CAUI-4 Chip to Chip and Chip to Module Applications

Half-Rate Decision-Feedback Equalization Di-Bit Response Analysis and Evaluation EDA365

CU4HDD Backplane Channel Analysis

Senior Project Manager / AEO

FEC Codes for 400 Gbps 802.3bs. Sudeep Bhoja, Inphi Vasu Parthasarathy, Broadcom Zhongfeng Wang, Broadcom

Further information on PAM4 error performance and power budget considerations

10mm x 10mm. 20m (24AWG) 15m (28AWG) 0.01μF TX_IN1 V CC[1:4] TX_OUT1 TX_OUT2 TX TX_IN3 TX_IN2 TX_OUT3 TX_OUT4 SERDES TX_IN4 RX_OUT1 RX_IN1 RX_OUT2

Application Space of CAUI-4/ OIF-VSR and cppi-4

BER margin of COM 3dB

FEC Applications for 25Gb/s Serial Link Systems

50 Gb/s per lane MMF baseline proposals. P802.3cd, Whistler, BC 21 st May 2016 Jonathan King, Finisar Jonathan Ingham, FIT

D1.2 Comments Discussion Document. Chris DiMinico MC Communications/ LEONI Cables & Systems

InfiniBand Trade Association

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels

F M1SDI 1 Ch Tx & Rx. HD SDI Fiber Optic Link with RS 485. User Manual

Optical transmission feasibility for 400GbE extended reach PMD. Yoshiaki Sone NTT IEEE802.3 Industry Connections NG-ECDC Ad hoc, Whistler, May 2016

Transmission Strategies for 10GBase-T over CAT- 6 Copper Wiring. IEEE Meeting November 2003

100 Gb/s per Lane for Electrical Interfaces and PHYs CFI Consensus Building. CFI Target: IEEE November 2017 Plenary

Toward Convergence of FEC Interleaving Schemes for 400GE

Transmitter Preemphasis: An Easier Path to 99% Coverage at 300m?

100G SR4 Link Model Update & TDP. John Petrilla: Avago Technologies January 2013

ELECTRICAL PERFORMANCE REPORT

Open electrical issues. Piers Dawe Mellanox

SIGNAL INTEGRITY SIMULATION AND MODELING

DesignCon New Serial Link Simulation Process, 6 Gbps SAS Case Study. Donald Telian, SI Consultant

Ordering information. 40Gb/s QSFP+ ER4 Optical Transceiver Product Specification. Features

Further Clarification of FEC Performance over PAM4 links with Bit-multiplexing

EVALUATION KIT AVAILABLE 12.5Gbps Settable Receive Equalizer +2.5V +3.3V V CC1 V CC. 30in OF FR-4 STRIPLINE OR MICROSTRIP TRANSMISSION LINE SDI+ SDI-

Improving the Performance of Advanced Modulation Scheme. Yoshiaki Sone NTT IEEE802.3bs 400 Gb/s Ethernet Task Force, San Antonio, Novenver 2014.

Transcription:

Line Signaling and FEC Performance Comparison for 25Gb/s 1GbE IEEE 82.3 1 Gb/s Backplane and Cable Task Force Chicago, September 211 Troy Beukema, Mounir Meghelli

Supporters and Contributors Mike Dudek, Qlogic Corporation Mark Bugg, Molex Peerouz Amleshi, Molex Myles Kimmitt, Emulex Ziad Hatab, Vitesse Frank Chang, Vitesse Iain Robertson, Texas Instruments Scott Kipp, Brocade Roy Cideciyan, Barry Barnett, Peter Pepeljugoski, Jeffrey Lynch, David Stauffer, 2

Objectives 1) Determine / propose Optimal Line Code for 1G= 4x25Gb/s over backplane among (NRZ, PAM4) candidates 2) Determine / propose an efficient FEC to both increase maximum loss handling capability and increase immunity to crosstalk/reflections Example Backplane Interconnect Topology Daughter Card ~2-3mm via ~3-6mm via BGA Package IC Die ~14mm-3mm trace ~2-5 Stripline Connector,Impedance Controlled Backplane or Midplane ~2 + Stripline Many Routing Layers 3

Line Signaling Simulations (See Appendix for System and I/O Core Model ) None, RS(N,K) FEC FFE NRZ PAM4 LINE CODE TXPKG RXPKG Eye Observation Point Uncoded BER CTE off DFE off Coded BER FEC NRZ PAM4 HEYEPP(1E-15) 49.9% VEYE(1E-15) 111mV HEYEPP(1E-15) CODED 67.5% VEYE(1E-15) CODED 141mV HEYEPP(1E-15) 39% VEYE(1E-15) 42.8mV HEYEPP(1E-15) CODED 51.4% VEYE(1E-15) CODED 55.2mV 4

Simulated Block Codes Various FEC options have been simulated and compared Highlighted FEC options are in particular of interest because of the low over-clocking penalty (% or 3%) A DFE error propagation model has been used to determine the FEC coding gain (see Appendix) ECC N K m T Transcode Line Rate 4 Rate/156.25 Over clocking RS 3 272 26 1 6 64/65 26.5625 17 3% RS 1 224 28 1 8 64/65 27.34375 175 6.1% RS 3 28 26 1 1 64/65 27.34375 175 6.1% RS 2 24 228 9 6 512/513 26.36719 168 + 3/4 2.3% RS 2 244 228 9 8 512/513 26.8664 171 + 9/16 4% RS 2 248 228 9 1 512/513 27.2469 174 + 3/8 5.6% RS 2 352 342 12 5 512/513 25.78125 165 % (1) bhoja_1_911.pdf (2) cideciyan_1_911.pdf (3) Proposed by John Ewen, (4) Line Rate = N / K / Transcode * 25. 5

Experimental Test Fixture Backplane Channels 25dB Loss Channel THRU.s4p FEXT1.s4p FEXT2.s4p FEXT3.s4p FEXT4.s4p FEXT5.s4p FEXT6.s4p FEXT7.s4p FEXT8.s4p DVR Option 2 Meg 6 11 ohm Conn. Switch Mid-plane Conn. RCVR 12. Meg 6 9 ohm 12. Meg 6 11ohm 3dB Loss Channel THRU.s4p FEXT1.s4p FEXT2.s4p FEXT3.s4p FEXT4.s4p FEXT5.s4p FEXT6.s4p FEXT7.s4p FEXT8.s4p DVR Option 2 Meg 6 11 ohm Conn. Switch Mid-plane Conn. RCVR 12. IS415 9 ohm 12. Meg 6 11ohm 35dB Loss Channel THRU.s4p FEXT1.s4p FEXT2.s4p FEXT3.s4p FEXT4.s4p FEXT5.s4p FEXT6.s4p FEXT7.s4p FEXT8.s4p 4dB Loss Channel THRU.s4p FEXT1.s4p FEXT2.s4p FEXT3.s4p FEXT4.s4p FEXT5.s4p FEXT6.s4p FEXT7.s4p FEXT8.s4p DVR DVR Option 2 Meg 6 11 ohm Option 2 Meg 6 11 ohm Conn. Conn. Switch Mid-plane Conn. RCVR 18. IS415 9 ohm 12. Meg 6 11ohm Switch Mid-plane Conn. RCVR 18. IS415 9 ohm 18. Meg 6 11ohm Typical Production Design Build Construction: 1% impedance tolerance, Standard Copper Foil, Backdrill 1mil +/- 1mil 6

High Channel Loss Eye Diagrams (3dB) NRZ PAM-4 BAUD/2 LOSS (CHAN/LINK) 3/38dB HEYEPP(1E-15) 26.1% VEYE(1E-15) 32.9mV BAUD/2 LOSS RS(255,239) 31/41dB HEYEPP(1E-15) RS(255,239) 53.3% VEYE(1E-15) RS(255,239) 71.6mV BAUD/2 LOSS (CHAN/LINK) 17/2dB HEYEPP(1E-15) 4.% VEYE(1E-15) 4.9mV BAUD/2 LOSS RS(255,239) 18/21dB HEYEPP(1E-15) RS(255,239) 18.1% VEYE(1E-15) RS(255,239) 25.2mV 7

High Channel Loss Eye Diagrams (~35dB) NRZ PAM-4 BAUD/2 LOSS (CHAN/LINK) 37/45dB HEYEPP(1E-15) 13.1% VEYE(1E-15) 11.2mV BAUD/2 LOSS RS(352,342) 37/45dB HEYEPP(1E-15) RS(352,342) 33.2% VEYE(1E-15) RS(352,342) 38.8mV BAUD/2 LOSS (CHAN/LINK) 19/22dB HEYEPP(1E-15).% VEYE(1E-15) mv BAUD/2 LOSS RS(352,342) 19/22dB HEYEPP(1E-15) RS(352,342) 3.8% VEYE(1E-15) RS(352,342) 1.8mV 8

NRZ HEYE and VEYE vs. Channel Loss, 64b/65b Transcode HEYEpp (%) or VEYE (mvp) @ 1E-15 BER 75 67 6 52 45 37 3 22 15 7.5 No code VEYE No code HEYE HEYE and VEYE vs. BGA-BGA Loss T1, VEYE T6, VEYE T8, VEYE T1, HEYE T8, HEYE T6, HEYE 3dB loss Limit, No code ~4dB loss Limit, Coded 2 25 3 35 4 45 5 BGA-BGA Loss @ f=bitrate/2 (db) T1 : RS(28,26) t=1 m=1 T8 : RS(224,28) t=8 m=1 T6 : RS(272,26) t=6 m=1 No code : 64b/66b Green traces : VEYE mvp Blue traces : HEYEpp % HEYE, VEYE Margin Limit: 15% HEYE 15mVp VEYE 9

NRZ HEYE and VEYE vs. Channel Loss, 512b/513b Transcode HEYEpp (%) or VEYE (mvp) @ 1E-15 BER 75 67 6 52 45 37 3 22 15 7.5 HEYE and VEYE vs. BGA-BGA Loss T1, VEYE T8, VEYE T6, VEYE T1, HEYE T5, VEYE T8, HEYE T6, HEYE No code VEYE No code HEYE T5, HEYE 3dB loss Limit, No code ~4dB loss Limit, Coded 2 25 3 35 4 45 5 BGA-BGA Loss @ f=bitrate/2 (db) T1 : RS(248,228) t=1 m=9 T8 : RS(244,228) t=8 m=9 T6 : RS(24,228) t=6 m=9 T5 : RS(352,342) t=5 m=12 No code : 64b/66b Green traces : VEYE mvp Blue traces : HEYEpp % HEYE, VEYE Margin Limit: 15% HEYE 15mVp VEYE 1

PAM4 HEYE and VEYE vs. Channel Loss, 64b/65b Transcode HEYEpp (%) or VEYE (mvp) @ 1E-15 BER 75 67 6 52 45 37 3 22 15 7.5 No code VEYE No code HEYE HEYE and VEYE vs. BGA-BGA Loss T1, VEYE T6, VEYE T1, HEYE T8, HEYE T6, HEYE Negative Margin, Uncoded ~3dB loss Limit, Coded T8, VEYE 2 25 3 35 4 45 5 BGA-BGA Loss @ f=bitrate/2 (db) T1 : RS(248,228) t=1 m=1 T8 : RS(244,228) t=8 m=1 T6 : RS(24,228) t=6 m=1 No code : 64b/66b Blue traces : HEYEpp % Green traces : VEYE mvp HEYE, VEYE Margin Limit: 15% HEYE 15mVp VEYE 11

Summary/Conclusions Signal Integrity simulation results showing that NRZ line signaling is far superior to PAM4 line signaling up to BGA-BGA channel losses of ~4dB NRZ line signaling is proposed for the 1GbE BP/Cable PHY Uncoded NRZ operates to about 3dB BGA-BGA channel loss limit. To increase loss handling capability, a T=5 RS Code is sufficient to enable operation on high loss (>3dB, <4dB Loss at bitrate/2) backplane channels Medium-strength RS codes with low (<3%) or no over-clocking (such as T=5 or T=6 RS Code with 512b/513b transcoding) is proposed for the 1GbE BP/Cable FEC Reduced latency FEC encoding can be made optional or bypassed to eliminate power draw when operating on easy channels 12

Appendix I/O System Model Reference Model I/O Core parameters Reference Package Model Measured 3dB Channel Response DFE Error Propagation Impact Pc vs. Pb transfer functions incorporating error propagation 13

I/O System Model Optional FEC Tx NRZ DUOBINARY PAM Line Driver Noise Rx Hard Decision Error Analysis Point Scramble/ Opt. ECC FFE LINE CODE Chan CTE DFE HARD DECODE Scramble/ Opt. ECC Feed Forward Equalizer FEXT(s) NEXT(s) Chan Chan AGC Continuous Time Equalizer Decision Feedback Equalizer TX + RX POWER EFFICIENCY TARGETS : 2-25mW / Gb/s EXAMPLE I/O POWER FOR HIGH DENSITY APPLICATION : 128 FULL DUPLEX I/O 2mW/Gb/s * 25Gb/s per I/O Lane = 5mW/Lane 5mW/Lane * 128 Lanes = 64W for I/O ALONE 14

Data ECC None (64b/66b only) RS(235,229) m=9 t=3 RS(255,239) m=8 t=8 Reference Model I/O Core Parameters TX FFE PLL PKG Channel Line rates : Uncoded : 25.8Gb/s t=3 Code : 25.8Gb/s t=8 Coded : 27.5Gb/s PKG RX Noise + AGC Reference Point CTE PLL DFE CDR Data ECC PARAMETER VALUE NRZ VALUE PAM4 PEAK SWING 1mVppd 1mVppd RJ 35fs RMS 35fs RMS DCD 1.6% (49.2:5.8) SJ 5% UI 5% BW -1.5dB@13GHz 2 pole Bessel -1.5dB @13GHz 2 pole Bessel PKG -2.6dB@13GHz -2.6dB @13GHz FFE 4 tap 2 precursor 4 tap 2 precursor - Simplified T & R model - Parameters selected to approximate real hardware realization performance - Set up to favor PAM4 : 2x complex DFE - Target BER = 1E-15 - E/L CDR active for NRZ & PAM4 PARAMETER VALUE NRZ VALUE PAM4 NOISE@ SLICER Sensitivity@ SLICER 2.75mV RMS 2mVpd 2mV RMS 2mVpd AGC LEVEL 28mVpd 28mVpd AGC GAIN MAX 3 3 RJ 35fs RMS 35fs RMS SJ 5% UI % BW -1.5dB @13GHz 4 pole Bessel -1.5dB @13GHz 4 pole Bessel PKG -2.7dB @ 13GHz -2.7dB @ 13GHz CTE 12dB peak @ 13GHz 3 pole 2 zero 12dB peak @ 13GHz pole 2 zero DFE 15 tap 15 tap (2X NRZ) 15

Reference Package Model PKG Identifier Trace Length mm Zo ohm PKG25 21 1 T 1 R Loss 25G db 2.6 BGA (BOARD) 5f PACKAGE MODEL RLGC 8f C4 (IC) SDD21 2. 1. -1. -2. -3. -4. THRU (green/solid) XTALK (dash/black) = NONE S11 (red/dash) max(..baud/2) -15.4 db S22 (blue/dot) max(..baud/2) -12.9 db DC attn = -.23 db FC attn = -2.67 db Av S/Xt = No Xt db FC S/Xt = No Xt db PKG = / TERM = / IC = / HSSCDR = 2.4.5-4 Confidential Date = Tue May 1 15:16:49 EDT 211 pkg21 Channel Response 6 5 4 3 2 1 S11, S22 SINGLEWIRE RLGC MODEL : Ro = 1 ohm/m Lo = 35 nh/m Go = Co = 14pF/m Rf = 5e-3 Gf = 25e-12 R(f) = Ro + Rf * sqrt(f) L(f) = Lo G(f) = Go + Gf * f C(f) = Co Note : correct causality manually -5. -1-6. -2-7. -3 16-8. Hz -4 5.GHz 1GHz 15GHz 2GHz 26GHz Frequency

Measured 3dB Channel Response 3dB Loss Channel DVR Option Conn. Switch Mid-plane Conn. RCVR Adds Crosstalk + Reflections XFER THRU1 Channel Response 2. Meg 6 12 layers 11 ohm 15 tap DFE span 12. IS415 9 ohm Impulse Response THRU1 Impulse Response 12. Meg 6 14 layers 11ohm SDD21 1-1 -2-3 -4 THRU (blue/solid) XTALK (dash/black) = 8XTALK S11 (red/dash) max(..baud/2) -9.39 db S22 (blue/dot) max(..baud/2) -7.79 db DC attn = -2.24 db FC attn = -3.1 db Av S/Xt = 4.7 db FC S/Xt = 19.1 db PKG = / TERM = / IC = / HSSCDR = 2.5.-a Confidential Date = Thu May 19 1:39:37 EDT 211 S/Xt = 19.1dB LOSS = 3.1dB Ref 3dB Channel 6 5 4 3 2 1 S11, S22 8.E-3 7.E-3 6.E-3 5.E-3 4.E-3 3.E-3 THRU (blue/solid) XTALK (magenta/solid) RMS XTALK (red/solid) ABS SUM XTALK (black/solid) prop delay = 464 ps imp span = 345 ps excess delay =. ps rms delay = 47.6 ps diff gd = -35.2 ps PKG = / TERM = / IC = / HSSCDR = 2.5.-a Confidential Date = Thu May 19 1:39:37 EDT 211 Unequalizable Reflection Energy -5 2.E-3-6 -1 1.E-3-7 -2-8 -3-1.E-3-9 Hz 5.GHz 1GHz 15GHz 2GHz Frequency -4 26GHz -2.E-3 3.2ns 4.ns 5.ns 6.ns 7.ns Time 8.2ns 17

DFE Error Propagation Impact Error propagation model : DFE h1 tap at.65, single DFE-tap approximation p(l) =~ (.47) L-1 (1) where p(l) is probability of a burst-error length of L bits DFE error propagation impact : RS(28,26) m=1 T=1 approximated by RS(152,14) m=1 T=6 RS(224,28) m=1 T=8 approximated by RS(13,12) m=1 T=5 RS(272,26) m=1 T=6 approximated by RS(178,17) m=1 T=4 RS(352,342) m=12 T=5 approximated by RS(198,192) m=12 T=3 (1) see DFE Burst Errors Slide in FEC Proposal for NRZ Modulation, S. Bhoja, et. al., 1Gb/s Backplane and Cable Task Force, IEEE 82.3, Chicago, Sept. 211 18

RS(28,26) m=1 T=1 Coding Transfer with DFE Error Propagation Pc vs. Pb -5. Log 1 (Corrected BER Pc) -1-15 -2-25 -3-35 -4-45 RS(152,14) m=1 T=6 RANDOM ERROR RS(28,26) m=1 T=1 RANDOM ERROR RS(28,26) m=1 T=1 DFE1, h1.65 error propagation -5 1-12 1-11 1-1 1-9 1-8 1-7 1-6 1-5 1-4 1-3 1-2 1-1.5 Uncorrected BER Pb Error propagation curve derived by John Ewen, 19

RS(224,28) m=1 T=8 Coding Transfer with DFE Error Propagation Pc vs. Pb -5. Log 1 (Corrected BER Pc) -1-15 -2-25 -3-35 -4-45 RS(13,12) m=1 T=5 RANDOM ERROR RS(224,28) m=1 T=8 RANDOM ERROR RS(224,28) m=1 T=8 DFE1, h1.65 error propagation -5 1-12 1-11 1-1 1-9 1-8 1-7 1-6 1-5 1-4 1-3 1-2 1-1.5 Uncorrected BER Pb Error propagation curve derived by John Ewen, 2

RS(272,26) m=1 T=6 Coding Transfer with DFE Error Propagation Pc vs. Pb -5. Log 1 (Corrected BER Pc) -1-15 -2-25 -3-35 -4-45 RS(178,17) m=1 T=6 RANDOM ERROR RS(272,26) m=1 T=4 RANDOM ERROR RS(28,26) m=1 T=1 DFE1, h1.65 error propagation -5 1-12 1-11 1-1 1-9 1-8 1-7 1-6 1-5 1-4 1-3 1-2 1-1.5 Uncorrected BER Pb Error propagation curve derived by John Ewen, 21

RS(352,342) m=12 T=5 Coding Transfer with DFE Error Propagation Pc vs. Pb -5. Log 1 (Corrected BER Pc) -1-15 -2-25 -3-35 -4-45 RS(352,342) m=12 T=5 RANDOM ERROR RS(198,192) m=12 T=3 RANDOM ERROR RS(352,342) m=12 T=5 DFE1, h1.65 error propagation -5 1-12 1-11 1-1 1-9 1-8 1-7 1-6 1-5 1-4 1-3 1-2 1-1.5 Uncorrected BER Pb Error propagation curve derived by John Ewen, 22