CMP and Current Trends Related to Advanced Packaging

Similar documents
Wafer Thinning and Thru-Silicon Vias

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS-

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

InvenSense Fabless Model for the MEMS Industry

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Possible Paths for Cu CMP

Nano-Imprint Lithography Infrastructure: Imprint Templates

2016, Amkor Technology, Inc.

Advanced MEMS Packaging

Self-Aligned Double Patterning for 3xnm Flash Production

EE C247B ME C218 Introduction to MEMS Design Spring 2017

Deep Silicon Etch Technology for Advanced MEMS Applications

Advanced Display Manufacturing Technology

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

24. Scaling, Economics, SOI Technology

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Overcoming Challenges in 3D NAND Volume Manufacturing

Future trends for SiP In Medical Implant Applications

2016, Amkor Technology, Inc.

Verification of HBM through Direct Probing on MicroBumps

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Sharif University of Technology. SoC: Introduction

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

AIXTRON in EXCILIGHT project

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

RF V W-CDMA BAND 2 LINEAR PA MODULE

MAXIM INTEGRATED PRODUCTS

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

EECS150 - Digital Design Lecture 2 - CMOS

Scaling up of the Iris AO segmented DM technology for atmospheric correction

SEMI 大半导体产业网

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

RELIABILITY REPORT FOR MAX44241AUA+T PLASTIC ENCAPSULATED DEVICES. September 8, 2014 MAXIM INTEGRATED 160 RIO ROBLES SAN JOSE, CA

Alien Technology Corporation White Paper. Fluidic Self Assembly. October 1999

Title: STMicroelectronics NIR Camera Sensor Pages: 97 Date: December 2017 Format: PDF & Excel file Price: Full report: EUR 3,490

Enabling Analog Integration. Paul Kempf

DEPFET Active Pixel Sensors for the ILC

Advanced Sensor Technologies

HB LEDs & OLEDs. Complete thin film process solutions

Technology Overview LTCC

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

IC TECHNOLOGY Lecture 2.

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

High ResolutionCross Strip Anodes for Photon Counting detectors

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Broadcom AFEM-8072 Mid&High Band Front End module in iphone 8/X

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

I-fuse TM : Best OTP for FD-SOI and Sub-14nm

MagnaChip HV7161SP 1.3 Megapixel CMOS Image Sensor Process Review

1. Publishable summary

I. Introduction. II. Problem

Parameter Min Typ Max Units Frequency Range, RF

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

EQUIPMENT COATING SYSTEMS FOR THIN-FILM PV THIN-FILM PHOTOVOLTAICS. SCALA VISS PIA nova GC120VCR XENIA

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

X-ray Inspection. Series.

Digital Light Processing

Basic Electronics Prof. Mahesh Patil Department of Electrical Engineering Indian Institute of Technology, Bombay

PoE: Adding Power to (IoT)

CMD GHz Fundamental Mixer

Organic light emitting diode (OLED) displays

Surface Mount Multilayer Ceramic Chip Capacitors for High Temperatures 200 C

Spring Probes and Probe Cards for Wafer-Level Test. Jim Brandes Multitest. A Comparison of Probe Solutions for an RF WLCSP Product

In the September/October issue of Small Times

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

17. Optical detectors and displays. Optical displays. FPD (Flat panel display)

Absolute Maximum Ratings Parameter Rating Unit Max Supply Current (I C1 ) at V CC typ. 150 ma Max Supply Current (I C2 ) at V CC typ. 750 ma Max Devic

MicroLED Displays: Global Trends & Opportunities for Equipment and Material Suppliers

Data Sheet. AMMC GHz Image Reject Mixer. Description. Features. Applications. Absolute Maximum Ratings [1]

Forward-Looking Statements

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2

RFOUT/ VC2 31 C/W T L =85 C

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

MAMX Sub-Harmonic Pumped Mixer GHz Rev. V1. Functional Schematic. Features. Description. Pin Configuration 1

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

P I SCALE Creating an Open Access Flexible O L E D P ilo t L in e S e r vic e

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Micro-Electro-Mechanical Systems MEMs Sensors: Market Strategies and Forecasts, Worldwide,

Terahertz focal plane arrays for astrophysics and remote sensing

Leading. Through Innovation. Film Technology Franchise. September 9, 2008

Benchtop Portability with ATE Performance

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Challenges in the design of a RGB LED display for indoor applications

BTC and SMT Rework Challenges

Transcription:

CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry

Click to edit Master Outline title style Industry Trends Trends in Packaging Where is CMP used in next generation packaging? Summary June 2017 NCCAVS TFUG/CMPUG 2

Global Click Economic to edit Master Conditions title style Question: Is anyone out there confident in the next 3 years? 1-June-2016 ECS Intro 3

Click Who to edit are Master the title Big style 5? According to S&P: Alphabet (Google) Amazon Apple Facebook Microsoft June 2017 NCCAVS TFUG/CMPUG 4

What Drives Growth Click in to Semiconductors? edit Master title style Historical Growth Segments Computers and PC s Cell phones High bandwidth infrastructure Tablets Recent or Emerging Growth Segments Smartphones Internet of Things (IoT) Power management and remote control Medical applications June 2017 NCCAVS TFUG/CMPUG 5

Semiconductor Revenue by Year Click to edit Master title style Internet Bubble Cell phones & Tablets Global Financial Crisis Stable?? PC Wars Source: WSTS, PwC analysis June 2017 NCCAVS TFUG/CMPUG 6

Click to Historical edit Master title Trends style What drives decisions in the semiconductors? SPEED and COST! New products must be ready on time for market launch Long term efficiency improves competitive strength Moore s Law dominated the CMOS industry for >40 years Not interrupted by cycles, markets, analysts, or the economy Photolithography and CMP are two critical process technologies to contributed both cost and performance improvements Photolithography enables SHRINKS CMP enables more complex STACKS Recent evidence shows very few companies still trying to hold to Moore s Law most are choosing to pursue alternatives rather than continue to pursue 2D shrinks Source: Intel Corporation June 2017 NCCAVS TFUG/CMPUG 7

Moore s Law Click Is to Dead edit Master Or title Is It?? style Source: MIT Technology Review, 2016 Source: Fotune.com Intel Insisting Moore s Law Isn t Dead May2017 Source: pcr-online.com/news posted 5June2017 Source: Business Insider, 2016 June 2017 NCCAVS TFUG/CMPUG 8

Trends in Scaling Click to edit and Master Integration title style Source: Wolter - Bio and Nano Packaging Techniques for Electron Devices June 2017 NCCAVS TFUG/CMPUG 9

Click Electronic to edit Master Package title style Unlike retail or other types of packaging, the performance and reliability of an electronic component are closely tied to the proper design of the package. Electronic packages are more than just a protective cover. Source: Clemson Technical Report: CVEL-07-001 June 2017 NCCAVS TFUG/CMPUG 10

Packaging Click Design to edit Considerations Master title style Source: Clemson Technical Report: CVEL-07-001 June 2017 NCCAVS TFUG/CMPUG 11

Click to Some edit Master Definitions title style DIP = Dual In-line Package BGA = Ball Grid Array WLP = Wafer Level Packaging SoC = System on Chip Increase functional integration by including sub-systems on a single chip. Includes more than just digital functions, e.g. analog-to-digital converter, RF radio, power isolation, amplifiers, etc. built into the same die. SiP = System in Package Combines multiple active electronic components of different functionality assembled into a single packaged unit. SiP may integrate passives, MEMS, optical components, and other types of devices and may include multiple types of packaging technology. Source: Wolter - Bio and Nano Packaging Techniques for Electron Devices June 2017 NCCAVS TFUG/CMPUG 12

Traditional Click to edit Master IC Packages title style Source: Clemson Technical Report: CVEL-07-001 Thru-Hole Mounted Surface Mounted June 2017 NCCAVS TFUG/CMPUG 13

Click Types to edit Master of Packages title style Type of Package Standard (DIP, BGA, etc.) Ceramic WLP 2.5D (Interposers) 3D Thin / Flexible Systems Primary Use and Advantages Cheap / Simple / Well established CMP or planarization not normally needed Tolerates high temperature and mechanical force Greensheet + fill + sinter No planarization need Higher pinout density, thin RDL layers, thin wafers Leverages device fabrication process steps First layers of packaging done before singulation Material can be Si, polymer, or other Typical integration has 3 RDL layers Planarization required, esp. for TSV fabrication Dense functionality, but mating connections require careful design and planarization Thermal management is very difficult Fast growing niche Requires ultrathin devices to flex w/o cracking Planarization of mating surfaces is essential June 2017 NCCAVS TFUG/CMPUG 14

Click 2.5D to vs edit 3D Master Integration title style June 2017 NCCAVS TFUG/CMPUG 15

High-Bandwidth Click Memory to edit Master (Samsung) title style June 2017 NCCAVS TFUG/CMPUG 16

Click to edit Master Interposers title style Sometimes called 2.5D integration Allows mixture of device types, pinout spacing, and component thicknesses Common versions are Si, glass, or polymer Frequently include at least 3 wiring levels (RDL) and may include thru vias as well Source: Hopkins, University of Buffalo (2009) June 2017 NCCAVS TFUG/CMPUG 17

Packaging Click Technology to edit Master Evolution title style Relative Position Lateral Lateral Offset stack Offset stack Stacked Stacked Stacked Stacked Planar Need None None Low Low High High High High Varies Single layer Stacked Varies Low High Stacked High Source: Wolter - Bio and Nano Packaging Techniques for Electron Devices June 2017 NCCAVS TFUG/CMPUG 18

Click to edit Master title style Pulling Technologies Together Digital CMOS, MEMS, RF, power, and analog are combined through advanced packaging technology to meet a desired form factor iphone 6S Well over 50% of device content does not require leading edge fab capability June 2017 NCCAVS TFUG/CMPUG 19

Click to edit Master title style Automotive Use of Semiconductors Semiconductor content in new automobiles continues to increase for sensors, control systems, and more Source: Semiengineering.com (Bernard Murphy, Sept 2015) The number of sensors is currently 60-100 per car and is projected to more than double in the next 8-10 years. June 2017 NCCAVS TFUG/CMPUG 20

What is the Click Internet to edit of Master Things title (IoT) style Google Definition A proposed development of the Internet in which everyday objects have network connectivity, allowing them to send and receive data. TechTarget.com Explanation The Internet of Things (IoT) is a system of interrelated computing devices, mechanical and digital machines, objects, animals or people that are provided with unique identifiers and the ability to transfer data over a network without requiring human-to-human or human-to-computer interaction. IoT has evolved from the convergence of wireless technologies, microelectromechanical systems (MEMS), microservices and the Internet. The convergence has helped tear down the silo walls between operational technology (OT) and information technology (IT), allowing unstructured machine-generated data to be analyzed and drive system improvements. June 2017 NCCAVS TFUG/CMPUG 21

Click Internet to edit of Master Things title (IoT) style Strong growth predicted in IoT for next 5 years Source: Semico (Oct 2015) Many applications are enabled by MEMS sensors June 2017 NCCAVS TFUG/CMPUG 22

Examples of IoT Click to edit Master title style Source: Freescale presentation at Semi Industry Forum on IoT (Oct 2015) June 2017 NCCAVS TFUG/CMPUG 23

IoT benefits from Click packaging to edit Master innovation title style June 2017 NCCAVS TFUG/CMPUG 24

What Factors Will Influence Click to IoT edit Growth Master title Rate? style Security and Privacy Control Especially important for health care, retail, and critical systems data Interoperability Must have a manageable number of standards Software apps may hold key to cross-platform integration Reduced Cost Initial focus is on IC components and sensors Lower packaging, assembly and distribution costs are also critical Low Power Embedded Processing Can add distributed intelligence to system (local interpretation / faster decisions) Reduces load on communication bandwidth Source: Semico Research (Oct 2015) June 2017 NCCAVS TFUG/CMPUG 25

Click to edit Master title style CMP Supplier Complexity Process Applications: 1995 - Qty 2 CMOS Oxide Tungsten 2001 - Qty 5 CMOS Oxide Tungsten Cu (Ta barrier) Shallow Trench Polysilicon 2016 Qty 40 CMOS New Apps Substrate/Epi Oxide MEMS GaAs & AlGaAs Tungsten Nanodevices poly-aln & GaN Cu (Ta barrier) Direct Wafer Bond InP & InGaP Shallow Trench Noble Metals CdTe & HgCdTe Polysilicon Through Si Vias Ge & SiGe Low k 3D Packaging SiC Capped Ultra Low k Ultra Thin Wafers Diamond & DLC Metal Gates NiFe & NiFeCo Si and SOI Gate Insulators Al & Stainless Lithium Niobate High k Dielectrics Detector Arrays Quartz & Glass Ir & Pt Electrodes Polymers Titanium Novel barrier metals Magnetics Sapphire Integrated Optics Consumables and Controls PADS SLURRIES ABRASIVES CONDITIONING DISCS BRUSHES & CLEAN CHEMISTRIES COMPONENTS June 2017 NCCAVS TFUG/CMPUG 26

Click to edit CMP Master for title TSV s style CMP is typically used in a damascene manner to planarize and isolate the vias after conductor deposition from one side. TSV s can be filled with any of several conductive materials. Most common options are copper and polysilicon. Final choice depends on dimensions, operating voltage and current, frequency, temperature requirements, plus other integration factors. CMP is used again after thinning to help expose and planarize the original bottom of the TSV s called TSV Reveal. June 2017 NCCAVS TFUG/CMPUG 27

Example Click to #1: edit TSV Master Formation title style Background Large via needed for design (75-100um diameter) Via last with extremely thick Cu plating (about 45 um) Previous CMP using standard stock removal slurries resulted in very long polish times (45 mins to 1 hour) Goals for CMP optimization phase Test new high-rate Cu slurry for much shorter clear times Verify reasonable selectivity to nitride after barrier clear Dishing <1 µm across 80 µm via Good surface finish on both Cu and dielectric June 2017 NCCAVS TFUG/CMPUG 28

Example Click #1: to Results edit Master after title CMP style Optical Microscope Via Diameter = 80 microns Field area = nitride and via liner = oxide SEM Source: RTI International, Inc. June 2017 NCCAVS TFUG/CMPUG 29

Example #2 Click TSV to with edit Master 6um Cu title layer style Cu TSV s up to 8 micron diameter Entrepix worked with slurry mfg to develop a more aggressive Cu slurry to cut through the residues Result was successful and became the POR slurry for this customer >12 mins Initial batches had Cu thickness variation and random small areas on some wafers that were extremely difficult to clear Qual data confirms stability across >10 batches over ~12 months time Customer believed that issues were caused by Cu plating bath Long polish times turned into unacceptably long (>20 mins) trying to clear these spots October 2016 ICPT 30

Click to edit Master TSV title Reveal style Process module following completion of device layers on front side TSV must be exposed to make contact and/or continue patterning next layers (RDL) from wafer backside. Various integrations are viable with combinations of backgrind, etch, selective CMP, or non-selective CMP. Some approaches require 2 or 3 steps of CMP Examples from two alternative integrations Reveal Using Non-selective CMP Reveal CMP Following Si Etch June 2017 NCCAVS TFUG/CMPUG 31

Click to edit Process Master title Flow style (a) (b) (c) June 2017 NCCAVS TFUG/CMPUG 32 (d) Process flow for Si interposer with TSVs: (a) TSV etch, isolation layer, plating, and via CMP, (b) Frontside multi-level metallization, (c) Wafer thinning and TSV reveal, (d) Backside metallization. Source: RTI International, Inc.

BackgrindClick for Substrate to edit Master Thinning title style Backgrind stops in Si before reaching TSV s Carrier Mount TSV wafers mounted face down on carrier wafers Backgrind TSV wafers thinned using backgrind to stop roughly 3-15um before hitting TSVs Carrier Wafer Reveal CMP performs dual function of removing grind damage layer and remaining bulk Si then exposing center conductor of TSV s June 2017 NCCAVS TFUG/CMPUG 33

Non-Selective Click to edit Master CMP title Reveal style Expose & Planarize TSVs Several exposed materials Single crystal silicon Oxide (or other liner) Barrier metal Copper Carrier Wafer June 2017 NCCAVS TFUG/CMPUG 34

Example: Click Non-Selective to edit Master title Reveal style Need to polish far enough into TSVs to remove rounded profile at base of vias CMP required to at least this depth Si 3 N 4 SiO 2 Insufficient Removal at This Depth Source: RTI International, Inc. June 2017 NCCAVS TFUG/CMPUG 35

Click to edit Cu Master TSV title Reveal style Starting to clear Mostly clear Finished Customized CMP process was used to planarize final surface comprised of Si+Ox+barrier+Cu Source: RTI International, Inc. June 2017 NCCAVS TFUG/CMPUG 36

Completed Click Interposer to edit Master with title TSV style Completed interposer test structure: large via diameter, 100um thickness. Structure has 2 frontside metal layers (4um Cu) and 1 backside metal. Bottom surface received TSV reveal polish Source: RTI International, Inc. June 2017 NCCAVS TFUG/CMPUG 37

Example: Selective Click Reveal to edit CMP Master after title style RIE After backgrind, bulk Si removed by an etch process Can be dry etch or wet etch, but must be highly selective to oxide Installed equipment already available Proceeds until 2-5um of encased via bumps protrude Layer of dielectric is usually deposited to protect field areas Primary goal of CMP is to planarize bumps and expose the Cu cores One benefit of this approach is to reduce total CMP polish time Less sensitive to uniformity issues Faster throughput and lower CMP process cost June 2017 NCCAVS TFUG/CMPUG 38

Click to Post-CMP edit Master Results title style CMP becomes relatively short kiss polish Pre-CMP Step Height 22,000 Ang Post-CMP Step Height 60 Ang June 2017 NCCAVS TFUG/CMPUG 39

Click to edit CMP Master Summary title style CMP Requirements Related to Packaging High stock removal rates are often needed for acceptable throughput Topography demands are usually less stringent than CMOS interconnect Defectivity is defined at a different level Lower cost is a MUST Wafer thinning is necessary and TTV control is critical New slurries may be needed for new materials, esp. for interposers and flexible electronics Advanced packaging and TSV applications have huge volume potential, but still struggling to define preferred integration that can meet cost expectations June 2017 NCCAVS TFUG/CMPUG 40

2017 Drivers for Click Advanced to edit Master Packaging title style Miniaturization Form factor and functionality density (package height, footprint) Heterogeneous technology integration Digital, RF, analog, power, and sensor integration System performance Noise reduction and higher speed Flexibility, features, and configurability Total system cost reduction Unit cost Development cost Time to market Advanced packaging applications have huge potential, but are still struggling to define preferred integrations that can meet cost expectations Adapted from source: Techsearch International June 2017 NCCAVS TFUG/CMPUG 41

Click to edit Master Thank title style You Many thanks to the following people: Terry Pfau, Paul Lenkersdorfer, Donna Grannis, Scott Drews (Entrepix staff) Customers, colleagues and analysts for various contributions For additional information, please contact: Robert L. Rhoades, Ph.D. Entrepix, Inc. Chief Technology Officer +1.602.426.8668 rrhoades@entrepix.com June 2017 NCCAVS TFUG/CMPUG 42