Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Similar documents
Using on-chip Test Pattern Compression for Full Scan SoC Designs

Design of Fault Coverage Test Pattern Generator Using LFSR

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

A. Chatterjee, Georgia Tech

Chip-Level DFT: Some New, And Not So New, Challenges

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

3D IC Test through Power Line Methodology. Alberto Pagani

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Digital Correction for Multibit D/A Converters

Sharif University of Technology. SoC: Introduction

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

System Quality Indicators

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

New Directions in Manufacturing Test

Testing Digital Systems II

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

VLSI Test Technology and Reliability (ET4076)

Data Converter Overview: DACs and ADCs. Dr. Paul Hasler and Dr. Philip Allen

Scan. This is a sample of the first 15 pages of the Scan chapter.

Digital Fundamentals. Introduction to Digital Signal Processing

Avoiding False Pass or False Fail

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

Advances in VLSI Testing at MultiGb per Second Rates

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

At-speed Testing of SOC ICs

ISSN (c) MIT Publications

RF (Wireless) Fundamentals 1- Day Seminar

ADVANCES in semiconductor technology are contributing

A Transaction-Oriented UVM-based Library for Verification of Analog Behavior

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Introduction to Data Conversion and Processing

Design for Testability

AMI Modeling Methodology and Measurement Correlation of a 6.25Gb/s Link

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Chapter 1. Introduction to Digital Signal Processing

Calibrate, Characterize and Emulate Systems Using RFXpress in AWG Series

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

How advances in digitizer technologies improve measurement accuracy

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Benchtop Portability with ATE Performance

On-the-fly Computation Method in Field-Programmable Gate Array for Analog-to-Digital Converter Linearity Testing

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Research Results in Mixed Signal IC Design

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

VLSI System Testing. BIST Motivation

Techniques for Extending Real-Time Oscilloscope Bandwidth

An Effective Filtering Algorithm to Mitigate Transient Decaying DC Offset

Testing Digital Systems II

Troubleshooting EMI in Embedded Designs White Paper

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Digital Effects Pedal Description Ross Jongeward 10 December 2014

MP5000 Wireless Test Station

Full Disclosure Monitoring

Technology Scaling Issues of an I DDQ Built-In Current Sensor

This Chapter describes the concepts of scan based testing, issues in testing, need

INF4420 Project Spring Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC)

«Trends in high speed, low power Analog to Digital converters»

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

SV1C Personalized SerDes Tester

Tools to Debug Dead Boards

Introduction to Signal Processing D R. T A R E K T U T U N J I P H I L A D E L P H I A U N I V E R S I T Y

Lecture 18 Design For Test (DFT)

Instrumentation Grade RF & Microwave Subsystems

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Analog to Digital Conversion

Ensemble QLAB. Stand-Alone, 1-4 Axes Piezo Motion Controller. Control 1 to 4 axes of piezo nanopositioning stages in open- or closed-loop operation

Tutorial on Technical and Performance Benefits of AD719x Family

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

Keysight Technologies High Power Ampliier Measurements Using Nonlinear Vector Network Analyzer. Application Note

RedEye Analog ConvNet Image Sensor Architecture for Continuous Mobile Vision

The high-end network analyzers from Rohde & Schwarz now include an option for pulse profile measurements plus, the new R&S ZVA 40 covers the

DESIGN FOR TESTABILITY

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

ECE 715 System on Chip Design and Test. Lecture 22

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Basic RF Amplifier Measurements using the R&S ZNB Vector Network Analyzer and SMARTerCal. Application Note

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Fast Ethernet Consortium Clause 25 PMD-EEE Conformance Test Suite v1.1 Report

Dac3 White Paper. These Dac3 goals where to be achieved through the application and use of optimum solutions for:

MIE 402: WORKSHOP ON DATA ACQUISITION AND SIGNAL PROCESSING Spring 2003

Testing Sequential Circuits

RF Signal Capture & Playback Simple Operation Guide

Further Investigation of Bit Multiplexing in 400GbE PMA

Overview: Logic BIST

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

MCP Signal Extraction and Timing Studies. Kurtis Nishimura University of Hawaii LAPPD Collaboration Meeting June 11, 2010

Building Video and Audio Test Systems. NI Technical Symposium 2008

Transcription:

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University

Outline State of the Art for Mixed-Signal System Difficulties of Analog and Mixed-Signal Test Conventional Analog and Mixed-Signal Test Call for New Solution for Mixed-Signal Test Category for Test Methodology Typical Platforms for Self-Test Scheme 2

State of the Art for Mixed-Signal System Mixed-signal System-on-a-Chip SoC, SiP, SoP of multi-function chip Big-Digital and Small-Analog configuration Small-A : more essential for overall SoC performance Majority of the production test time incurred in testing and its importance Large number of tests for analog circuit specifications Test cost approaching a half of the total manufacturing cost [Akbay et al.] Future ICs employing more mixed-signal circuits Processor DSP core Base-band Interface Logic Memory Controller RAM / ROM / Flash Ethernet Mac Mixed- Signal Circuits PHY System-on-Chip RF 3

Difficulties of Analog and Mixed-Signal Test Needs to deal with continuity of analog signal characteristics Tolerance is acceptable for results Analog specification for fault-free within tolerance margin Noise and tolerance specifications of signal generator It is difficult to generate ideal input waveform Affect response of the DUT Low test coverage Device Under Test (DUT) Automatic Test Equipment (ATE) Signal Generator (Tolerance of Spec.) Imprecise Input Analog Digital Imprecise Output Test Limit Meas. Data Compare (Pass/Fail) Binning Imprecise Response 4

Conventional Analog and Mixed-Signal Test Limitations of SoC testing Issues on conventional test Limited controllability and observability Traditional systems have test nodes to individually verify operation of subsystems Modern analog circuits integrated as die of core in SoCs External ATE without direct access to all internal embedded functions of mixed-signal system Expensive and highly time-consuming Different test setups for different performance parameters Overhead for instruments for each specification test Specialized instruments for full specification test External analog ATE is very expensive 5

Call for New Solution for Mixed-Signal Test Need for testable SoC/SoP Conflict of interests Integration afforded by design process Testability achievable by external tester Viable solution Placing ATE functionalities in close proximity of SoC module to be tested Improvement of test-access speed Reduction of test signal degradation by cable parasitic Increase of controllability and observability of signals Analog Built-In Self-Test (BIST) and Analog Built-Off Self-Test (BOST) Tests using much less expensive ATE Test functions on load board or DUT 6

BIST and BOST Scheme BIST scheme Moves part of required test resources from ATE to die Test stimuli generation, response evaluation, test control circuitry More controllability and observability BOST scheme Migrates test functions to load board Additional Design-for-Test (DfT) circuitry on load board for high-speed stimulus and capturing Benefits Low area overhead Low design effort/cost Low interference to original design Easy to characterize DfT device BOST Configuration Load Board DSP sin(wt) DfT circuitry Original Design DUT 7

BIST and BOST Scheme Incorporation of additional functions within chip by reusing components E.g., DAC, ADC, and more Careful decision between BIST and BOST to master diversity of problem Required by broad range of different test requirements of mixed-signal circuit BIST and BOST architectures for different conditions of package under test Interference to original design Large area overhead Calibration required Characterization required Conventional testing BIST Need for -Low Cost -More Testability BOST Need for -More Controllability -Test Performance Trade-Off 8

Category for Test Methodology Configuration category: BIST/BOST Fault-based test Higher technology of digital self-test applied to analog self-test Realistic faults from process information, defect statistics, circuit layout Reference fault model Diverse waveforms generated on-chip and DUT response compressed to signatures Test method for faulty/fault-free DUT using signature 9

Category for Test Methodology (cont ) Limitations DUT topology and reference fault models required Hard to build the reference model of complicated circuits Poorly described model leads to lower test accuracy With precise model and high fault coverage, stimuli targeted at detecting specific faults requiring more stimuli Detecting catastrophic faults effectively Difficult to effectively detect parametric failures With various input signals and diverse responses, analyzing DUT response for parametric failure is time consuming 10

Category for Test Methodology (cont ) Performance-based test Overcome limitations of fault-based test Apply optimized stimuli and measure functional specifications, without need for reference model Improved by BIST and BOST using stimulus generation and response analysis on DSP core available in SoC Trend for mixed-signal testing To develop cost-effective performance-based test methodology based on BIST/BOST, without loss of test quality 11

Typical Platforms for Self-Test Scheme Mixed Analog-Digital BIST [Toner, Haurie, Viyam, Zimmermann] Assumes mixed-signal IC with an ADC-architecture, including signal generator which produces analog test signal, e.g., DAC Tests systematically multiple analog module in IC one by one Issue - amount of hardware resources and complexity for test Oscillation-BIST [Arabi, Novak] Mixed-signal device is part of oscillating feed back loop and DUT is converted into oscillator in test mode Compare oscillation response, e.g., amplitude, frequency for pass/fail Simple and robust test, eliminating test vector generation problem Issue: hard to test parametric faults 12

Typical Platforms for Self-Test Scheme (cont ) Polynomial-BIST [Sunter, Huaguo, Rosinger] Measures specifications without changes of hardware in DUT Uses ramp or sinusoidal input and DUT approximated by polynomials Predict performance parameters using known parameters Need highly accurate, nonlinear model for higher accuracy and for tracking erratic curve of nonlinear performance in micro-scale Hybrid-BIST [Ohletz, Zeng, Jas, Jervan] Based on conversion of existing digital BIST structure to analog BIST Test stimulus obtained from D/A conversion of test pattern generator Analog test stimulus fed to different analog sub circuits Output response analysis after A/D conversion of the test response Linear-feedback-shift-register (LFSR) used to perform signature analysis 13

Dithering Theory [J. Vanderkoov et al.] Enhancement of resolution Swinging input to constant output Adding dithering to stimulate adjacent codes Constant to swinging response Smearing between two codes by averaging sets of noisy outputs Two-level outputs ADC output Dithered 32-trace avg 960-trace avg 14

Dithering Theory (cont ) Dither model Stair case output q: Vin g( ) q Convoluted form Given a fixed Vin, v term is integrated Smeared, linearized behavior No dither Vin p(v) v v Σ g( ) q Gaussian dither of 1 LSB rms 16 averaged output spectra 15

Dithering Theory (cont ) Identified more accurate harmonics Use Gaussian dither in general Similar idea from windowing for spectral leakage Quite small noise Extraction technique Irrelevant to harmonic testing 16

Polynomial Test [F. Attivissimo et al.] Fourier expansion x(t) y(t) 17

Polynomial Test (cont ) Chebyshev polynomial CC nn xx CC VV = cos nn xx CC VV If NN h, then x(t) y(t) h(x) 18

Polynomial Test (cont ) Introduces truncation errors: ee xx = h xx gg(xx) 30 harmonics 100 harmonics Enhancement opportunity Lower noise floor than high-order harmonics Given harmonics, linearity test time and test cost to be saved 19

Loopback Test for Calibration [W. Jiang et al.] Loopback configuration DUT DUT 20

Loopback Test for Calibration (cont ) Characterization for DAC INL Simplified polynomial fitting algorithm Simplified polynomial fitting algorithm 21

Loopback Test for Calibration (cont ) Calibration by compensating DAC output 22

Loopback Test for Calibration (cont ) Characterization for ADC INL 23

Loopback Test for Calibration (cont ) Calibration by compensating ADC output (from simplified polynomial fitting algorithm) 24

Loopback Test for Calibration (cont ) Issues to be resolved for enhanced correlation Higher error rate of DAC INL with nonlinearity present in m-adc Fault masking issue For ADC INL, poorly calibrated DAC output resulting in high error rate of ADC INL For ADC calibration, INL polynomial with low correlation to cause high errors in calibrated ADC output 25

Harmonic Test using INL [J. Duan et al.] Relation between input signal and output response Vin(tk) ADC C(tk) Input referred noise Offset code Gain error Quantization noise Transition level voltage 26

Harmonic Test using INL (cont ) 27

Harmonic Test using INL (cont ) If aforementioned equations are rewritten, then Spectral representations of INL terms are obtained to identify harmonics Fundamental Harmonics Noise floor DC 28

Thank you! Any Questions? Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University