Overview: Logic BIST

Similar documents
ECE 715 System on Chip Design and Test. Lecture 22

Testing Digital Systems II

VLSI System Testing. BIST Motivation

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

VLSI Test Technology and Reliability (ET4076)

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Design of Fault Coverage Test Pattern Generator Using LFSR

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Chapter 8 Design for Testability

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Design for Testability

Testing Digital Systems II

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

This Chapter describes the concepts of scan based testing, issues in testing, need

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

DESIGN FOR TESTABILITY

Scan. This is a sample of the first 15 pages of the Scan chapter.

Testing of Cryptographic Hardware

Unit V Design for Testability

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

K.T. Tim Cheng 07_dft, v Testability

Transactions Brief. Circular BIST With State Skipping

Digital Integrated Circuits Lecture 19: Design for Testability

Design for Testability Part II

Weighted Random and Transition Density Patterns For Scan-BIST

CSE 352 Laboratory Assignment 3

I. INTRODUCTION. S Ramkumar. D Punitha

Power Problems in VLSI Circuit Testing

TKK S ASIC-PIIRIEN SUUNNITTELU

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

ISSN (c) MIT Publications

Testing Digital Systems II

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Design of BIST with Low Power Test Pattern Generator

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Changing the Scan Enable during Shift

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

SIC Vector Generation Using Test per Clock and Test per Scan

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

Lecture 18 Design For Test (DFT)

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

VirtualScan TM An Application Story

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Testing Sequential Circuits

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

E-Learning Tools for Teaching Self-Test of Digital Electronics

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b


Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Diagnosis of Resistive open Fault using Scan Based Techniques

Test-Pattern Compression & Test-Response Compaction. Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan

Design for test methods to reduce test set size

LOW TRANSITION TEST PATTERN GENERATOR ARCHITECTURE FOR MIXED MODE BUILT-IN-SELF-TEST (BIST)

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

IIIHIII III. Signal in. BIST ShiftDR United States Patent (19) Tsai et al. Out Mode Signal out. mclockdr. SCOn

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Efficient Test Pattern Generation Scheme with modified seed circuit.

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

At-speed testing made easy

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

LFSR Counter Implementation in CMOS VLSI

Slide Set 14. Design for Testability

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Level and edge-sensitive behaviour

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Transcription:

VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in Logic Block Observer (BILBO) Test / clock systems Test / scan systems Circular self-test path (CSTP) BIST Circuit initialization Test point insertion Summary 23 April 2007 2 1

Motivation Complex systems with multiple chips demand elaborate logic BIST architectures BILBO and test / clock system Shorter test length, more BIST hardware STUMPS & test / scan systems Longer test length, less BIST hardware Circular Self-Test Path Lowest hardware, lower fault coverage Benefits: cheaper system test, Cost: more hardware. Must modify fully synthesized circuit for BIST to boost fault coverage Initialization, test point hardware 23 April 2007 3 Built-in Logic Block Observer (BILBO) Combined functionality of D flip-flop, pattern generator, response compacter, & scan chain Reset all FFs to 0 by scanning in zeros 23 April 2007 4 2

Example BILBO Usage SI Scan In SO Scan Out Characteristic polynomial: 1 + x + + x n CUTs A and C: BILBO1 is MISR, BILBO2 is LFSR CUT B: BILBO1 is LFSR, BILBO2 is MISR 23 April 2007 5 BILBO Serial Scan Mode B1 B2 = 00 Dark lines show enabled data paths 23 April 2007 6 3

BILBO LFSR Pattern Generator Mode B1 B2 = 01 23 April 2007 7 BILBO in D FF (Normal) Mode B1 B2 = 10 23 April 2007 8 4

BILBO in MISR Mode B1 B2 = 11 23 April 2007 9 Test / Clock System Example New fault set tested every clock period Shortest possible pattern length 10 million BIST vectors, 200 MHz test / clock Test Time = 10,000,000 / 200 x 10 6 = 0.05 s Shorter fault simulation time than test / scan 23 April 2007 10 5

Test / Scan Systems STUMPS architecture Alternative test per scan systems Advantages and limitations of test/scan systems 23 April 2007 11 STUMPS: Architecture and example SR1 SRn 25 full-scan chains, each 200 bits 500 chip outputs, need 25 bit MISR (not 5000 bits) 23 April 2007 12 6

STUMPS Test procedure: 1. Scan in patterns from LFSR into all scan chains (200 clocks) 2. Switch to normal functional mode and clock 1 x with system clock 3. Scan out chains into MISR (200 clocks) where test results are compacted Overlap Steps 1 & 3 Requirements: Every system input is driven by a scan chain Every system output is caught in a scan chain or drives another chip being sampled 23 April 2007 13 Alternative Test / Scan Systems 23 April 2007 14 7

Test / Scan System New fault tested during 1 clock vector with a complete scan chain shift Significantly more time required per test than test / clock Advantage: Judicious combination of scan chains and MISR reduces MISR bit width Disadvantage: Much longer test pattern set length, causes fault simulation problems Input patterns time shifted & repeated Become correlated reduces fault detection effectiveness Use XOR network to phase shift & decorrelate 23 April 2007 15 BILBO vs. STUMPS vs. ATE LSSD: Level-sensitive scan design System clock rate: 1 GHz P = # patterns L = max. scan chain length CP = clock period = 10-9 s ATE rate: 325 MHz Self-test speed k = = 3.07692 LSSD tester speed Test times BILBO: P x CP STUMPS: P x L x CP ATE: P x L x CP x k External test & ATE: 307 x longer than BILBO STUMPS: 100 x longer than BILBO Due to extra scan chain shifting 23 April 2007 16 8

Circular Self-Test Path (CSTP) BIST Combine pattern generator and response compacter into a single device Use synthesized hardware flip-flops configured as a circular shift register Non-linear mathematical BIST system Superposition does not hold Flip-flop self-test cell XOR s D with Q state from previous FF in CSTP chain MISR characteristic polynomial: f (x) = x n + 1 Hard to compute fault coverage 23 April 2007 17 CSTP System 23 April 2007 18 9

Examples of CSTP Systems CSTP BIST for 4 ASICs at Lucent Technologies: Tested everything on 3 of the 4, except for: Input/Output buffers and Input MUX BIST overheads: logic 20 %, chip area 13 % Stuck-at fault coverage 92 % 23 April 2007 19 Circuit Initialization Full-scan BIST shift in scan chain seed before starting BIST Partial-scan BIST critical to initialize all FFs before BIST starts Otherwise we clock X s into MISR and signature is not unique and not repeatable Discover initialization problems by: 1. Modeling all BIST hardware 2. Setting all FFs to X s 3. Running logic simulation of CUT with BIST hardware 23 April 2007 20 10

Circuit Initialization (continued) If MISR finishes with BIST cycle with X s in signature, Design-for-Testability initialization hardware must be added Add MS (master set) or MR (master reset) lines on flip-flops and excite them before BIST starts Otherwise: 1. Break all cycles of FF s 2. Apply a partial BIST synchronizing sequence to initialize all FF s 3. Turn on the MISR to compact the response 23 April 2007 21 Isolation from System Inputs Must isolate BIST circuits and CUT from normal system inputs during test: Input MUX Blocking gates AND gate apply 0 to 2 nd AND input, block normal system input Note: Neither all of the Input MUX nor the blocking gate hardware can be tested by BIST Must test externally or with Boundary Scan (covered later) 23 April 2007 22 11

Test Point Insertion BIST does not detect all faults: Test patterns not rich enough to test all faults Modify circuit after synthesis to improve signal controllability Observability addition Route internal signal to extra FF in MISR or XOR into existing FF in MISR 23 April 2007 23 Summary Logic BIST system architecture -- Advantages: Higher fault coverage At-speed test Less system test, field test & diagnosis cost Disadvantage: Higher hardware cost Architectures: BILBO, test / clock, test / scan Needs DFT for initialization, and test points 23 April 2007 24 12