Simulation Mismatches Can Foul Up Test-Pattern Verification

Similar documents
Using on-chip Test Pattern Compression for Full Scan SoC Designs


for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Scan. This is a sample of the first 15 pages of the Scan chapter.

Chapter 8 Design for Testability

Lecture 23 Design for Testability (DFT): Full-Scan

K.T. Tim Cheng 07_dft, v Testability

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

At-speed testing made easy

Design for Testability

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testing Digital Systems II

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Based on slides/material by. Topic Testing. Logic Verification. Testing

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

2.6 Reset Design Strategy

Unit V Design for Testability

TKK S ASIC-PIIRIEN SUUNNITTELU

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

At-speed Testing of SOC ICs

Overview: Logic BIST

CSE 352 Laboratory Assignment 3

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Chip-Level DFT: Some New, And Not So New, Challenges

Digital Integrated Circuits Lecture 19: Design for Testability

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Digital Circuits 4: Sequential Circuits

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Design for Testability Part II

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Chapter 2. Digital Circuits

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

Assembling Scan Structures 5

Combinational vs Sequential

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Chapter 2 Clocks and Resets

Chapter 10 Exercise Solutions

Synchronization in Asynchronously Communicating Digital Systems

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Slide Set 14. Design for Testability

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

Logic Design II (17.342) Spring Lecture Outline

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Chapter 4. Logic Design

6. Sequential Logic Flip-Flops

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

Sequential Logic Basics

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Tools to Debug Dead Boards

FPGA Design. Part I - Hardware Components. Thomas Lenzi

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

IT T35 Digital system desigm y - ii /s - iii

BUSES IN COMPUTER ARCHITECTURE

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

High-Frequency, At-Speed Scan Testing

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

EE 447/547 VLSI Design. Lecture 9: Sequential Circuits. VLSI Design EE 447/547 Sequential circuits 1

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

CHAPTER 4: Logic Circuits

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Testing Sequential Circuits

Implementation of Scan Insertion and Compression for 28nm design Technology

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs

Solutions to Embedded System Design Challenges Part II

Using down to a Single Scan Channel to Meet your Test Goals (Part 2) Richard Illman Member of Technical Staff

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

11. Sequential Elements

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Clocking Spring /18/05

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Lecture 11: Sequential Circuit Design

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

NEW MEXICO STATE UNIVERSITY Electrical and Computer Engineering Department. EE162 Digital Circuit Design Fall Lab 5: Latches & Flip-Flops

Chapter 9 MSI Logic Circuits

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

Contents Slide Set 6. Introduction to Chapter 7 of the textbook. Outline of Slide Set 6. An outline of the first part of Chapter 7

Sequential Circuit Design: Principle

Lecture 10: Sequential Circuits

Field Programmable Gate Arrays (FPGAs)

Transcription:

1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ] Simulation Mismatches Can Foul Up Test-Pattern Verification Udhaya Kumar ED Online ID #10821 August 4, 2005 Copyright 2006 Penton Media, Inc., All rights reserved. Printing of this document is for personal use only. Reprints Design for testability (DFT) works to make a circuit more testable to ensure that it was manufactured correctly. Alfred Crouch explains the purpose of DFT in his book, Design-For-Test for Digital ICs and Embedded Core Systems: "To exhaustively test a combinational circuit with N inputs, a sequence of 2N test vectors must be applied and observed to fully exercise the circuit." With that goal in mind, the primary purpose of DFT is to increase testability of a given netlist by increasing controllability and observability. A design can be considered testable if a satisfactory set of test patterns is generated, evaluated, and applied to improve quality and minimize time-to-market. Therefore, a testable system implies better fault coverage, a shorter testing time, a higher-quality product, and a shorter time-to-market. Two tasks must be accomplished in DFT: generating efficient test patterns with maximum test coverage, and then verifying test patterns. Afterward, these generated test patterns are applied to the real design, and timing information is considered. During test-pattern verification, problems occur when the results generated by the automatic test-pattern generation (ATPG) tool don't match the simulated results-when timing information is considered. This is known as simulation mismatch. Scan Design Methodology The ultimate goal of applying this methodology during a design's creation and implementation phase is to ensure that hardware hooks in the final design will enable the tester to apply test vectors that can achieve a high quality of test. This methodology is applied to the general design structures, and it behaves as combinational logic during test mode. In functional mode, the design comes in the form of sequential logic. Circuit operation involves many clocks to get data through memory elements. In a sequential design, back tracing for vector generation isn't possible. Therefore, these sequential elements are transformed to pseudo-combinatorial elements using various scan architectures. Designers generally use three scan architectures: 1. Mux-DFF: A mux-dff cell contains a single D-type flip-flop with multiplexed input lines that allow the selection of either normal system data or scan data (Fig. 1). In normal operation (SC_EN = 0), system data passes through the multiplexer to the D input of the flip-flop, and then to the output (Q). In scan mode (SC_EN = 1), scan input data passes to the flip-flop, and then to the scan output (SC_OUT). 2. Clocked-Scan: The clocked-scan architecture is similar to the mux-dff architecture, but it uses a dedicated test clock instead of a multiplexer to shift in scan data (Fig. 2). It ensures data hold for non-scan cells during scan loading. In normal operation, the system clock (SYS_CLK) clocks system data into the circuit and to the output (Q). In scan mode, the scan clock (SC_CLK) clocks scan input data (SC_IN) into the circuit and through to the output (SC_OUT). 3. Level-Sensitive Scan Design (LSSD): In normal mode, the master latch captures system data (DATA) using the system clock (SYS_CLK) and sends it to the normal system output (Q) (Fig. 3). In test mode, the two clocks (ACLK and BCLK) trigger the shifting of test data through both master and slave latches to the scan output (SC_OUT). Scan Operation The scan chain is a set of cells in which one scan cell's output port is connected to the dedicated scan input port of another scan cell (Fig. 4). In this way, a serial scan chain is constructed. If all sequential elements in a design are converted to scannable elements, the

2 of 5 12/17/2009 2:59 PM architecture is known as full scan. If some non-scanned sequential elements are left in the design, the architecture is known as partial scan. The test procedure is based on the following sequences: 1. Enable the scan operation to allow shifting. 2. After loading the scan cells, hold the scan clocks off and then apply a stimulus to the primary inputs. 3. Measure the outputs through primary outputs. 4. Pulse the clock to capture new values into the scan cells. 5. Enable the scan operation to unload and measure the captured values. In Figure 5, the scan cells are shifting the scan data (scan data1, scan data2, and scan data3) with respect to the scan clock. After completion of the shift operation, the scan enable signal is disabled and applied to the stimulus from the primary inputs. Finally, the outputs are measured through the primary outputs. Once the test circuitry is inserted and the test procedure is established, the ATPG tool can be used to generate the test patterns. However, the ATPG tool will perform design rule checking (DRC) to ensure the test circuitry and test procedure can allow the tool to generate the test patterns with high fault coverage. Simulation Mismatches During Test-Pattern Verification Test-pattern verification is based on the device timing information to ensure that the patterns can be applied to the real circuits. If any mismatches occur between the timing-based simulation results and the expected results, the test patterns must be corrected. If test patterns aren't corrected, good devices would be rejected during the manufacturing test process. The most common simulation mismatches are as follows: Timing Issues: Timing-related issues generally occur because of clock skew between the successive scan cells in the design. If the propagation delay at the data path between two successive scan cells is less than the propagation delay at the clock path, clock skew occurs. Conceptually, it would be ideal to have only one scan clock. But this actually creates more clock-skew problems, due to the multiplexing together of asynchronous clocks. When connecting flip-flops of different clocks onto the same scan chain, we try to buffer the path from scan out of one clock domain to the scan in of the other to provide hold time during scan shifting. This relaxes the clock-skew requirement between the flip-flops. Clock skew caused by the delay through the multiplexer can introduce hold-time violations in the scan mode. These violations occur when a non-inverted flip-flop Q output is connected to an inverted clock DI (scan) input. In Figure 6, the second scan cell captures the updated data of the first cell instead of data at the input of the second cell before asserting the clock. This problem can be resolved by increasing the delay at the data path by adding a latch, called a lock-up latch. Another method involves setting an ATPG function to both input cells, which ensures both cells capture the data at the same time. Fix all hold-time violations in scan mode by adding buffers to delay the path (if two clocks are in the same scan chain). Design-Rule Violations: Non-scanned latches in the pad logic are flagged as violations. But since these are bypassed in the scan mode, they won't affect the coverage. Even if all blocks in the design pass DRC, violations still appear at the top level due to connectivity problems, bus contention, loops, or clock interactions. Clock-Rule Violations (C3 rule): Clock-rule violations (C3 rule) are handled by simulating multiple events per test cycle. Figure 7 illustrates the single-cycle multiple events. Event 1 corresponds to default simulation performed by the ATPG tools. State elements haven't yet changed, although all combinational logic-including that connected to clocks-has been changed. Event 2 corresponds to a time when level-sensitive and leading-edge state elements have updated as a result of the applied clocks. This simulation correctly calculates values for trailing-edge and level-sensitive state elements. D7 Design-Rule Violations: A negative flip-flop present in the design causes D7 design-rule violations (Fig. 8a). The clock for this flip-flop is at logic level "1" during the off state. This happens because the clock is inverted and connected to the clock pin of the flip-flops (Fig. 8b). The negative-edge-triggered scan cell in a scan chain can capture data from the positive-edge-triggered scan cell in another scan chain. Unfortunately, the positive-edge-triggered scan cell captures the data before the clock cycle is completed. Therefore, the expected data generated from the tool for positive-edge-triggered cell is old data. In timing-based simulation, the new data is the updated data of the negative-edge-triggered cell. This is because the negativeedge-triggered cell is capturing the data on the negative edge of the defined clock cycle. Sometimes, we can ignore the D7 violations. Otherwise, we need to inform the ATPG tool to update the scan-cell data. Bus Contention During Scan Operation: The biggest problem in system-on-a-chip (SoC) designs with respect to ATPG is resolving how to control the internal three-state bus structures. Two careful considerations are necessary to avoid bus contention. First, make sure there's no contention on the three-state buses during the scan-shifting operation. A scan-insertion tool automatically performs this task. Second, ensure that there's no contention on the internal three-state buses during the capture cycles while scan testing. The ATPG tool can't generate the test pattern for the bus contention, and it uses those test patterns to test the device that may be stressed to the production test. Therefore, avoiding the internal three-state bus contention is most important during test-pattern verification. The bus contention problem will occur at two levels. The first is within a block design that contains multiple drivers for the three-state port. The second problem is at the chip level, where multiple design blocks interface with the same bus. Multiple Clock Domains: A clock domain is a grouping of sequential elements sharing a single clock in the design block. If two design blocks share the same clock, then there must be clock skew between the two blocks. Clock skew is important because although it's easy to meet the setup timing requirement, it will create a problem with hold-time violations during scan testing. To avoid the hold-time problems during scan testing, add buffers in the clock path. Bidirectional I/Os: Handle bidirectional I/Os with care during test-pattern verification. The ATPG tool can generate patterns when the bidirectional I/Os change direction as a result of the capture clock. Testers generally don't support this function. So, you should force the ATPG tool to generate scan patterns that don't change the direction of the bidirectional IOs during the capture cycle.

3 of 5 12/17/2009 2:59 PM Finally, test-pattern verification is important prior to testing to ensure that the ATPG-tool-generated test patterns can be applied to the real design and to prevent the rejecting good chips off the manufacturing line. Simulation mismatches will reduce the fault coverage and yield. They should be resolved by analyzing the circuits as described here. Recommended Reading: Abramovici, Miron, Breuer, Melvin A., and Friedman, Arthur D., Digital Systems Testing and Testable Design, Wiley-IEEE Press, Sept. 1994. Basto, Luis, Khan, Asif, and Hodakievic, Pete, Embedded X86 Testing Methodology, Advanced Micro Devices, 1999. Crouch, Alfred, Design-For-Test For Digital ICs and Embedded Core Systems, Prentice Hall, 1999. "Design-For-Test" documentation, Mentor Graphics Corp. (www.mentor.com/supportnet/documentation) Figure 1 Figure 2 Figure 3 Figure 4 Figure 5

4 of 5 12/17/2009 2:59 PM Figure 6 Figure 7 Figure 8

5 of 5 12/17/2009 2:59 PM PartFinder GlobalSpec PART SEARCH : Find real-time pricing, stock status, same-day/next-day shipping options and more. Brought to you by Digi-Key. Go to PartFinder. Sponsored Links Low Power CPLD Lattice ispmach 4000ZE Low Cost CPLD Family www.latticesemi.com Powered by: Marketplace Keithley 2010 Test & Measurement Product Catalog: Free reference contains info and specs on Keithley's test solutions, plus tutorials and selector guides that simplify choosing the optimum solutions. FREE Tektronix Fundamentals of Radar Measurements Primer This primer addresses the needs for pulse generation and measurements, how pulses are generated and how the automated measurement are made. Electronic Design Europe Electronic Design China EEPN Power Electronics Auto Electronics Microwaves & RF Mobile Dev & Design Schematics Find Power Products Military Electronics EE Events Related Resources Planet EE Network Contact Us Editorial Calendar Media Kit Submit Articles Headlines Subscribe Advanced Search Help Site Map E-mail Webmaster Copyright 2009 Penton Media, Inc., All rights reserved. Privacy