Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Similar documents
Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

7 Nov 2017 Testing and programming PCBA s

the Boundary Scan perspective

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

Tools to Debug Dead Boards

Using the XC9500/XL/XV JTAG Boundary Scan Interface

of Boundary Scan techniques.

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Saving time & money with JTAG

PCB Test & Programming Solutions

UNIT IV CMOS TESTING. EC2354_Unit IV 1

XJTAG DFT Assistant for

BSDL Validation: A Case Study

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

BTW03 DESIGN CONSIDERATIONS IN USING AS A BACKPLANE TEST BUS International Test Conference. Pete Collins

Subjects. o JTAG Technologies (Rik Doorneweert, Area Manager) o JTAG Technologies B.V. activities o Introduction to (classic) Boundary Scan

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Section 24. Programming and Diagnostics

Unit V Design for Testability

XJTAG DFT Assistant for

XJTAG DFT Assistant for

Product Update. JTAG Issues and the Use of RT54SX Devices

Using Test Access Standards Across The Product Lifecycle

Section 24. Programming and Diagnostics

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Testing Digital Systems II

3. Configuration and Testing

XJTAG DFT Assistant for

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Digital Integrated Circuits Lecture 19: Design for Testability

Simulation Mismatches Can Foul Up Test-Pattern Verification

Remote Diagnostics and Upgrades

ScanExpress JET. Combining JTAG Test with JTAG Emulation to Reduce Prototype Development Time. Ryan Jones Corelis, Inc. An EWA Technologies Company

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

SJTAG Meeting at EBTW 2006

Comparing JTAG, SPI, and I2C

Scan. This is a sample of the first 15 pages of the Scan chapter.

Using IEEE Boundary Scan (JTAG) With Cypress Ultra37000 CPLDs

Chip-Level DFT: Some New, And Not So New, Challenges

Based on slides/material by. Topic Testing. Logic Verification. Testing

Test strategies for industrial testers for converter controls equipment

At-speed testing made easy

Raspberry Pi debugging with JTAG

Lecture 18 Design For Test (DFT)

Chapter 19 IEEE Test Access Port (JTAG)

K.T. Tim Cheng 07_dft, v Testability

XJTAG. Boundary Scan Tool. diagnosys.com

11. JTAG Boundary-Scan Testing in Stratix V Devices

Configuring FLASHlogic Devices

SignalTap Plus System Analyzer

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Boundary Scan (JTAG ) 2

SV1C Personalized SerDes Tester

Overview of BDM nc. The IEEE JTAG specification is also recommended reading for those unfamiliar with JTAG. 1.2 Overview of BDM Before the intr

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

TKK S ASIC-PIIRIEN SUUNNITTELU

IMPROVED SIGNAL INTEGRITY IN EMBEDDED IEEE BOUNDARY-SCAN DESIGNS. Efren J. Taboada. A thesis submitted to the faculty of

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

CHAPTER 3 EXPERIMENTAL SETUP

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing

This Chapter describes the concepts of scan based testing, issues in testing, need

Keysight Technologies x1149 Boundary Scan Analyzer. Technical Overview

Using an IEEE Test Bus for Fault Diagnosis of Analog Parts of Electronic Embedded Systems. Zbigniew Czaja 1, Bogdan Bartosinski 2

Device 1 Device 2 Device 3 Device 4

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

IEEE Standard (JTAG) in the Axcelerator Family

JRC ( JTAG Route Controller ) Data Sheet

ontap BOUNDARY SCAN SOFTWARE PRODUCT FEATURES AND SCREEN TOUR FLYNN SYSTEMS CORP.

Zeroplus Logic Analyzer Multi-LA Stack and LA-Oscilloscope Stack

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Design for Testability

3D IC Test through Power Line Methodology. Alberto Pagani

At-speed Testing of SOC ICs

CoLinkEx JTAG/SWD adapter USER MANUAL

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

DMC550 Technical Reference

Memec Spartan-II LC User s Guide

VirtualScan TM An Application Story

TMS320C6000: Board Design for JTAG

In-System Programmability Guidelines

Circular BIST testing the digital logic within a high speed Serdes


Testing Digital Systems II

Optimized for Digital Motor Control

Fa m i l y o f PXI Do w n c o n v e r t e r Mo d u l e s Br i n g s 26.5 GHz RF/MW

Using the XSV Board Xchecker Interface

Sharif University of Technology. SoC: Introduction

BABAR IFR TDC Board (ITB): system design

Logic Analysis Basics

Logic Analysis Basics

Chapter 8 Design for Testability

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

1

DESIGN FOR TESTABILITY

Error connecting to the target: TMS320F28379D. 1 Error message on connecting the target.

Transcription:

Introduction to JTAG / boundary scan-based testing for 3D integrated systems (C) 2011 - GOEPEL Electronics - www.goepelusa.com

Who is GOEPEL? World Headquarters: GÖPEL electronic GmbH Göschwitzer Straße 58/60 D-07745 Jena / GERMANY Tel: +49-3641-6896-0 Fax: +49-3641-6896-944 e-mail: sales@goepel.com URL: www.goepel.com USA Headquarters: GOEPEL Electronics LLC 9737 Great Hills Trail, 170 Austin, TX 78759 / USA Tel: +1-512-782-2500 Fax: +1-734-741-1444 e-mail: sales@goepelusa.com URL: www.goepelusa.com Founded: 1991 Employees: ~ 170 JTAG/Boundary Scan, Automotive Test Solutions, Functional Test, AOI/AXI, Digital Image Processing 2

Outline JTAG / boundary-scan applications Ways to test mixed-signal PCBA with JTAG / bscan Example: 3-D stacked, mixed-signal dies 3

Benefits of JTAG / boundary scan Test for manufacturing defects at board and system level Access to on-chip test / debug / emulation resources In-system programming Efficient ATPG tools, pin level diagnostics Deterministic (predictive) test coverage Standardized: IEEE 1149.1, 1149.4, 1149.6, 1149.7, 1500, 1532, P1149.8.1, P1687, P1838,... 4

What is Boundary Scan? A little bit of history (and outlook)... 5

Basics of IEEE 1149.1 IEEE 1149.1 specifies... Test resources to be implemented in devices Boundary Scan Description Language (BSDL) Digital Core Logic /TRST TDI TCK TMS ID Reg IR BP TAP Controller TDO 6

JTAG / boundary scan applications 7

Outline Board level JTAG / boundary-scan applications Ways to test mixed-signal PCBA with JTAG / bscan Example: 3-D stacked, mixed-signal dies 8

Ways to test mixed-signal PCBA w/ bscan Combine bscan (digital) w/ functional test (analog) Combine bscan (digital) w/ ICT / FPT (analog) Utilize mixed-signal I/O modules, controlled by the boundary scan test system IEEE 1149.4 enabled devices ADC/DAC with IEEE 1149.1 access Loop-back on UUT (DAC to ADC loop-backs) PCBA = Printed circuit board assembly ICT = In-circuit tester FPT = Flying probe tester ADC = Analog/Digital converter DAC = Digital/Analog converter UUT = Unit under test bscan - boundary scan IEEE 1149.1 / boundary scan = simple, embedded, standardized access to internal device structures 9

Boundary scan and ICT / FPT Probes can be used to provide access with digital test resources and with analog test resources 10

Mixed-signal test principle with IEEE 1149.1 Analog to Digital Converter: Provide analog stimulus with tester channel or measure circuit nodes Read digital output with BScan 11

Mixed-signal test principle with IEEE 1149.1 Digital to Analog Converter: Provide digital stimulus with BScan Measure analog output with tester channel or functional test equipment 12

Mixed-signal test principle with IEEE 1149.4 13

Mixed-signal test principle with IEEE 1149.4 14

Mixed-signal test principle with IEEE 1149.4 15

JTAG / boundary-scan systems SCANFLEX TAP Transceiver 2... 8 programmable TAP s 32 dynamic digital I/O 3 static digital I/O 2 analog I/O 3 trigger lines SFX I/O module slot SFX/LS port various form factors for stand-alone systems and for 3rd party ATE integration SYSTEM CASCON software Useful for mixed-signal tests SCANFLEX I/O module Several types, such as: digital I/O (96 channels) differential I/O (50 pairs) mixed signal I/O analog measurement IEEE 1149.4 TAP VarioCORE modules Custom designs SFX/carrier SCANFLEX Controller PCI, PCI Express, USB, LAN, FireWire, PXI, PXI Express, VXI, LXI Three performance classes A, B, and C Up to 80 MHz TCK HYSCAN support SPACE II chip set 2 SFX/LS ports 16

JTAG / boundary-scan systems CION I/O modules Several types, such as: digital I/O (96 channels) mixed-signal I/O (96 or 192 digital I/O, 1149.6 I/O, analog I/O, relays, opto-coupled, I/O, and more) DIMM interface modules PCI interface modules Scan chain controlled Useful for mixed-signal tests SYSTEM CASCON software ScanBooster TM Controller PCI, USB, Cables PCI Express Low-cost controller Up to 16 MHz TCK 2 programmable TAP s 32 dynamic digital I/O 3 static digital I/O 2 analog I/O 3 trigger lines fixture integrated TIC modules 17

PCBA vs. SOC / SIP / MCM / 3D Stacked Die Multi-die IC level test access problem similar to PCBA Similar test strategy, just at different scale 18

Outline JTAG / boundary-scan applications Ways to test mixed-signal PCBA with JTAG / bscan Example: 3-D stacked, mixed-signal dies 19

Observations Test bus access: pins to bottom die, from there through stacked interconnects; need special DFT with layout requirements (where to place TSVs for inter-die test bus signal interconnects) IEEE 1149.1 focuses on die to die (chip to chip) interconnects IEEE 1500 for core level boundary scan IEEE P1687 will offer standardized access to embedded instruments, incl. IEEE 1500, BIST, BERT, etc. IEEE 1149.7 offers attractive test bus signal network between cores and dies IEEE P1838 to standardize 3D stacked die test 20

3D stacked dies This and the following slides are just examples of boundary scan configurations - others are possible. This is a conceptual drawing and does not represent an actual implementation! Die-to-Die interconnects Number of pins, boundary scan cells, analog or digital logic, and size of chips, TSVs, and solder balls are not in scale (e.g. test logic is very small compared to functional logic, and the number of pins and boundary scan cells is much higher than illustrated here). Power Analog I/O 21

3D stacked dies Please note that this is a conceptual drawing and does not represent an actual implementation! Die-to-Die interconnects Number of pins, boundary scan cells, analog or digital logic, and size of chips, TSVs, and solder balls are not in scale (e.g. test logic is very small compared to functional logic, and the number of pins and boundary scan cells is much higher than illustrated here). 22

3D stacked dies Please note that this is a conceptual drawing and does not represent an actual implementation (e.g. TBIC circuitry is missing)! Die-to-Die interconnects Number of pins, boundary scan cells, analog or digital logic, and size of chips, TSVs, and solder balls are not in scale (e.g. test logic is very small compared to functional logic, and the number of pins, boundary scan cells and ABMʼs is typically much higher than illustrated here). 23

3D stacked dies Mixed-signal die Please note that this is a conceptual drawing and does not represent an actual implementation (e.g. TBIC circuitry is missing)! Die-to-Die interconnects Number of pins, boundary scan cells, analog or digital logic, and size of chips, TSVs, and solder balls are not in scale (e.g. test logic is very small compared to functional logic, and the number of pins, boundary scan cells and ABMʼs is typically much higher than illustrated here). 24

Summary IEEE 1149.1 has been created for digital test, but...... what about analog / mixed-signal circuitry? Along came IEEE 1149.4, specifying mixed-signal boundary scan resources, but are there any insertion tools for 1149.4 features? Mixed-signal tests based on IEEE 1149.1 are possible using A/D converters and mixed signal tester resources IEEE P1687 will offer new possibilities IEEE 1581 may help for non-boundary scan chips / dies (e.g. stacked memory dies without wrapper boundary register) 25

Contact info, upcoming sessions June 6, 2011 at 12:30 PM EDT: Commercial testing of next generation circuits and systems, Scott Bulbrook, DA-Integrated June 20, 2011 at 12:30 PM EDT: Research frontiers in DFT and BIST, Steve Sunter, Mentor Graphics Heiko Ehrenberg GOEPEL Electronics +1 512 501 6535 www.goepelusa.com h.ehrenberg@goepelusa.com 26