Technology Scaling Issues of an I DDQ Built-In Current Sensor

Similar documents
EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

A Low-Power CMOS Flip-Flop for High Performance Processors

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

WINTER 15 EXAMINATION Model Answer

Scan. This is a sample of the first 15 pages of the Scan chapter.

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

Dual Slope ADC Design from Power, Speed and Area Perspectives

Unit V Design for Testability

LFSR Counter Implementation in CMOS VLSI

Noise Margin in Low Power SRAM Cells

A Power Efficient Flip Flop by using 90nm Technology

PICOSECOND TIMING USING FAST ANALOG SAMPLING

A MISSILE INSTRUMENTATION ENCODER

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

Hardware Design I Chap. 5 Memory elements

Design Project: Designing a Viterbi Decoder (PART I)

Large Area, High Speed Photo-detectors Readout

UNIT IV CMOS TESTING. EC2354_Unit IV 1

P.Akila 1. P a g e 60

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Digital Integrated Circuits Lecture 19: Design for Testability

25.5 A Zero-Crossing Based 8b, 200MS/s Pipelined ADC

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

VOLTAGE SENSING BASED BUILT-IN CURRENT SENSOR FOR I DDQ TEST. A Dissertation BIN XUE

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD

An FPGA Implementation of Shift Register Using Pulsed Latches

T sors, such that when the bias of a flip-flop circuit is

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Retiming Sequential Circuits for Low Power

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME


Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Readout techniques for drift and low frequency noise rejection in infrared arrays

Asynchronous (Ripple) Counters

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

VLSI Chip Design Project TSEK06

Low Power D Flip Flop Using Static Pass Transistor Logic

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Design of Fault Coverage Test Pattern Generator Using LFSR

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

RX40_V1_0 Measurement Report F.Faccio

CMOS DESIGN OF FLIP-FLOP ON 120nm

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

INF4420 Project Spring Successive Approximation Register (SAR) Analog-to-Digital Converter (ADC)

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Decade Counters Mod-5 counter: Decade Counter:

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Digital Integrated Circuits EECS 312

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

High Performance TFT LCD Driver ICs for Large-Size Displays

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Chapter 5 Flip-Flops and Related Devices

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Digital Correction for Multibit D/A Converters

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

EECS150 - Digital Design Lecture 2 - CMOS

TKK S ASIC-PIIRIEN SUUNNITTELU

MAX11503 BUFFER. Σ +6dB BUFFER GND *REMOVE AND SHORT FOR DC-COUPLED OPERATION

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ECE321 Electronics I

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

High-Speed ADC Building Blocks in 90 nm CMOS

Transcription:

Technology Scaling Issues of an I DDQ Built-In Current Sensor Bin Xue, D. M. H. Walker Dept. of Computer Science Texas A&M University College Station TX 77843-3112 Tel: (979) 862-4387 Email: {binxue, walker}@cs.tamu.edu Abstract Analysis and comparison of 1.5 µm and 350 nm CMOS test chip results of a built-in current sensor design reveal several critical design issues. This paper includes a discussion of these issues. The success of the sensor design hinges on how these issues are addressed in order to achieve successful operation during technology scaling. I. Introduction As a supplement to functional test, quiescent current (I DDQ ) testing has been found to be an effective testing method in finding physical defects like gate oxide shorts and bridging faults. However, I DDQ tests are facing challenges as technology advances. The built-in current sensor (BICS) approach has been widely investigated and proved to be a promising solution for future I DDQ test. In our previous work we proposed a practical I DDQ sensor design and fabricated test chips in 1.5 µm and 350 nm CMOS technology. Although test results showed that the basic sensor design works for both technologies, there are several issues need to be addressed for the sensor to scale with technology and find practical usage in current and future technologies. The two primary issues are storage of the calibration voltage and the signal to noise (SNR) ratio. Since the sensor stores a calibration voltage on a capacitor formed from MOSFET gate oxides, MOSFET transistor gate and subthreshold leakage become more and more of a concern. If not dealt with properly, they will significantly affect sensor performance or even void sensor functionality in future technologies. Sensor measurement time and resolution are quadratically dependent on SNR. Newer technologies have higher inherent bandwidths, which increase noise levels. Circuit bandwidths must be tailored to the test speed, in order to maximize SNR. Other design issues, including more robust signal preamplifier, sensor area reduction, sensor usage methodology and controller design, are also discussed in this paper. II. Sensor Description The block diagram of the BICS is shown in Figure 1. The detailed sensor design is described elsewhere [1][2][3]. The major BICS components are the flip-flop (FF) sensor, calibration circuit, and counter/scan chain. The BICS system works as follows. The signal, a small voltage drop from the supply line caused by leakage current, passes through the transmission circuit and into the stochastic sensor. The signal strength is decided by the supply line length and resistance. Ten squares of supply line will generate a 1 µv signal when the current is 10 µa and the sheet resistance is 10 mω/. The stochastic sensor amplifies the small signal and resolves into either 1 or 0 in each clock cycle. The probability of the stochastic sensor resolving into each state is determined by the signal to noise ratio. The generated bit stream of 0 and 1 is then fed into the counter, where it is accumulated. A selfcalibration circuit nulls out any flip-flop imbalance and layout mismatch when no input signal is present. The signal is removed for calibration by opening the transmission circuit and shorting the stochastic sensor inputs. The flip-flop sensor achieves high sensitivity by operating in the metastable region. It compares the input signal to random noise to determine which way to flip. The data detector converts this flip to a counter clock pulse. The counter value is the digital representation of the signal, so the stochastic sensor plus counter form an analog to digital converter (ADC). The counter value can then be scanned out. The stochastic method has two advantages: an ADC can be implemented in a small area using digital components, and it can measure a signal much smaller than the random noise.

I DDQ Trans Circuit CALB CLK FF Sensor Calibration Circuit Data Detector Q1 Q2 SIN Counter & Scan SOUT SCAN Stochastic Sensor Figure 1. Block diagram of the I DDQ Sensor. III. Test Chip Measurement Results and Discussion The test chip was fabricated by MOSIS using AMI 1.5 µm and TSMC 350 nm CMOS technologies. Both test chips used DIP 40 packaging and the same FPGA-based test fixture for experimentation and data collection. A summary of the test chip measurement results are listed in Table 1. It was found that the gain of the sensor system for both test chips is comparable, with the 1.5 µm test chip showing slightly higher gain. The transfer curves in both test chips have offset. The offset can be significantly improved by calibration, though it cannot be completely eliminated because of the limited calibration step size. However the offset problem is found to be alleviated for the 350 nm test chip when the step size is reduced. Based on the measured transfer curve and gain, the translated I DDQ resolution is approximated 10 µa and 182 µa for the 1.5 µm and 350 nm test chip, respectively. The measured SNR is 1/800 for 1.5 µm and 1/1500 for 350 nm test chips. Besides the elevated internal noise, the calibration noise from digital chopping also contributes to the total noise observed. Dynamic range is basically the linear range of the transfer curve and the measured dynamic range is ±1 mv and ±5 mv respectively for the 1.5 µm and 350 nm test chips. Calibration step size directly affects the sensor accuracy and therefore several measures has been taken in the 350 nm test chip to reduce it, which includes diode connected transistors in the pump up/down paths to limit leakage current, and a larger pumping capacitance ratio. As a result the step size is reduced by 4-8 times. The calibration voltage drift rate is closely associated with the technology used, so the 350 nm test chip shows a leakage level approximately two orders of magnitude higher than the 1.5 µm technology. With worst-case circuit mismatch of several hundred mv, the calibration range is less of a concern compared to calibration step size and drift rate. Both test chips yield a wide calibration range of about 1.4-2.4 V. The sensor circuit scales directly with technology, except for the calibration reservoir capacitor MOSFETs, which are 50 by 50 µm in both technologies. Mismatch can be well controlled with certain layout techniques, but it is always unavoidable because of process variation. The measurements suggest the mismatch worsens as technology scales, which matches other published work. In the following sub-sections we will focus on the crucial issues that determine sensor success in current and future technologies. Table 1. Comparison of the 1.5 µm and 350 nm test chip results 1.5 µm 0.35 µm Gain (with chopping) 950 counts/µv 659 counts/µv Offset 200 µv 28.6 µv Resolution 10 µa (60% confidence interval) 182 µa (95% confidence interval) Measure SNR 1/800 1/1500 Dynamic Ranger ±1 mv ±5 mv Calibration Step Size 850 µv (up) 640 µv (down) 232 µv (up) 87 µv (down) Drift Rate 12 µv/20 ms 770 µv/10 ms Calibration Range 0.7~3.1V 0.7~2.11V Sensor Size 737,600 µm 2 83,490 µm 2 F/F Mismatch 7.2~49 mv 30~150 mv Sensor System Gain The stochastic sensor transfer curve of the 1.5 µm test chip is shown in Figure 2. The gain of the sensor is approximately 950 counts/µv, with an offset of about 200 µv, the result of a large calibration voltage step size. From the transfer curve, an effective noise level of about 800 µv can be estimated. As shown in Figure 3, the transfer curve of the 350 nm chip has a gain of 660 counts/µv. This difference is due to the higher effective noise

level of the 350 nm chip, estimated at 5 mv. Similar to the 1.5 µm chip, the 350 nm chip has an offset of approximately 190 µv for a 0 V input. Figure 2. Measured stochastic sensor transfer curve of 1.5 µm test chip, in which 1M measurements are taken in chopping mode, i.e. 1000 measurements clocks are interleaved with 500 calibration clocks and repeat 1000 times. Figure 3. Transfer curve of the 350 nm test chip using 4M measurement cycles, with 200 calibration cycles interleaved with 500 measurement cycles per period. Signal to Noise Ratio Improvement Since the sensitivity is a function of the signal noise ratio (SNR) and the trend is worsening as technology advances, maintaining or improving SNR becomes more and more challenging. This can be done by either improving the signal strength or reducing the noise level. The latter can be achieved by reducing circuit bandwidth to the minimum needed for the flip-flop to operate at the clock rate. For example, the 350 nm design has a much higher bandwidth than the 1.5 µm design, but the clock rate of both is 40 MHz, so the extra bandwidth only contributes noise. Bandwidth can be readily controlled with flip-flop load capacitance or channel length. The signal strength can be improved with a preamplifier. Our flip-flop stochastic sensor design, though it has many advantages, has a limitation in that the input transistor gain is only half that of the calibration gain. In our next generation design, we will increase the input signal with a differential preamplifier that feeds each leg of the flip-flop. This both directly amplifies the signal and improves the transfer gain into the flip-flop differential voltage. This also allows us to reduce the calibration gain, which makes it less sensitive to calibration voltage drift due to capacitor leakage. We have revised the flip-flop stochastic sensor to include a preamplifier based on a sense amplifier [4] which follows two principles. The design is shown in Figure 4. It is first a dynamic and differential logic style and therefore has only one switching event per cycle, and it is independent of the input value and sequence. Second, it guarantees the load capacitance has a constant value. The intrinsic capacitances at the differential input and output signals are symmetric and additionally it discharges and charges all the internal node capacitances through the pull down network. Circuit simulation results are shown in Figure 5. Compared with a sense amplifier, it has an essential difference. The two output nodes which are usually precharged by two clocked PMOS transistors (not shown) are

replaced by one clocked PMOS transistor P14 between the output nodes. Two schemes have been proposed with a small variation in that one has the shunting transistor N22 and one does not. The shunting transistor reduces power dissipation by reducing voltage swings. In our simulation of switching behavior and noise analysis, we favor the version without N22 since it outperforms the other in switching, while maintaining a lower noise level. Besides having a preamplifier, this stochastic sensor is faster than the previous design. During the precharge phase our previous stochastic sensor design discharged all nodes to GND and therefore it took longer to charge internal nodes and resolve to a flip-flop decision in the evaluation phase. In contrast, in the new design both output nodes are precharged to V DD - Vtp -Vtn, reducing voltage swing. The circuit recycles charge during the evaluation phase, so is also lower power. Figure 4. New flip-flop stochastic sensor with and without shunting NMOS transistor N22. Figure 5. Simulated flip-flop waveform transients of the switching events and a single event zoom-in. Calibration Drift Control One of the core components in the sensor system is the flip-flop stochastic sensor, which operates in the metastable region and requires a precise adjustable calibration circuit to compensate for manufacturing mismatch to work properly. Hence, the calibration drift rate directly affects the sensor resolution and accuracy. The measured drift rate of the calibration circuit in the 1.5 µm chip is 12 µv per 20 ms, which is approximately an order of magnitude higher than the simulated results. The 350 nm test chip had a drift rate of 770 µv/10 ms, which is approximately twice the simulated value. This drift rate is two orders higher than that of the 1.5 µm test chip. This is due to elevated subthreshold leakage. In more advanced technology this issue will become more pronounced, due to gate leakage and band-to-band tunneling. The drift rate can be improved by increasing the size of the reservoir capacitor at the expense of chip area. Theoretical analysis shows that the charge pump will stop functioning when the technology intrinsic leakage achieves a certain level. The solution we are currently considering is using thicker oxide (high V TH ) devices to reduce leakage in the calibration circuitry and capacitor, and recalibrating more frequently to relax the drift requirements. Such recalibration requires more frequent switching of the calibration enable signal, which might reduce test speeds slightly.

Sensor Area Reduction Since the test chips were pad-limited, no attempts were made to tightly-pack the sensor layout. The layout was also restricted to the first two metal layers to provide placement flexibility in using the sensor in a chip design. With this relatively loosely-packed layout, a full 350 nm sensor with two counters (up and down) is approximately 83,490 µm 2 using two 50 50 µm calibration reservoir capacitors on each side of the flip-flop. A production sensor will only need one counter, reducing the area to 53,850 µm 2. Shrinking the calibration capacitors (by using more frequent recalibration) and tightly packing the layout should cut the sensor area to approximately 30,000 µm 2, permitting 33 sensors to use no more than 1% of the area of a 1 cm 2 die. The sensor inputs can be multiplexed, at the expense of test time, to achieve further area reduction. We have shown how the sensor can be designed to measure all the V DD pads of a Pentium 4 processor. We are also considering designing the flip-flop to contain an imbalance, so that only one side of the calibration circuit is needed, further reducing the sensor area. BICS Sensor Usage Methodology and Controller Design The tester interface can be simplified to realize a push button operation by having an on-chip controller generate the sequence of calibration and scan controls, flip-flop clock and Q1/Q2 clocks. The tester interface could then consist of two commands: CLEAR and MEASURE in which CLEAR would initialize the sensor while MEASURE would issue the sequence of calibration control and flip-flop clocks to perform the measurement. A more advanced controller can implement a simple test algorithm, for instance, I DDQ or Current Ratio. Controller designs are implemented purely in digital logic and are small compared to the chip area and aggregate BICS area (assuming many BICSs). The operation of the proposed I DDQ sensor consists of four different operation modes: scan-in, calibration, measurement and scan-out. In the scan-in mode, the counter is reset by scanning in zeros serially using the scan clocks, with SCANB low. Similarly, the measurement results are scanned out using the counter/scan chain operating as a shift register. After scan-in, the external calibration signal (CALB) will be asserted along with the flip-flop clock to perform self-calibration. The normal measurement mode is initiated by removing CALB and applying flipflop clocks. Measurement and calibration are interleaved to perform digital chopping. Similarly, scan-in and scanout can be overlapped. The tester interface can be simplified by having an on-chip controller generate the sequence of calibration and scan controls, flip-flop clock and Q1/Q2 clocks. The tester interface could then consist of two commands: CLEAR and MEASURE. CLEAR would load zeros into the scan chains. MEASURE would issue the sequence of CALB and flip-flop clocks to perform the measurement. The MEASURE command could take parameters such as the total number of measurement cycles, and number of calibration and measurement cycles per period. After MEASURE, the tester could apply normal scan clocks, which would be converted into Q1/Q2 clocks by the controller, to read out the measurement values. The controller would feed in zeroes to reset the counters prior to the next measurement. A more advanced controller can implement a simple test algorithm. For example, I DDQ can be implemented by scanning all sensor values into the controller, taking the difference between each measurement and the next, and remembering the max (and perhaps min) difference. The max difference could then be read out for a tester decision, either after each I DDQ measurement, or after all measurements are completed. A current ratios test could be implemented by scanning out all sensor values, remembering the max and min values, which can then be read by the tester and divided to compute the current ratio. The NNR test method first computes the average of all I DDQ vectors for each chip. This can be implemented by having the controller compute the sum of all sensor values for all vectors, which can then be read by the tester and divided by the total number of sensors and vectors. The assumption in CR and NNR that division is too expensive to implement in the controller and need only be done once. Controller designs such as discussed above are implemented purely in digital logic and are small compared to the chip area and aggregate BICS area (assuming many BICSs). Since there is no concern about whether such controllers can be implemented in current and future technologies, they were not included on the 350 nm test chip. Since the white noise spectral density is proportional to temperature, sensor gain falls with increasing temperature. Since temperature varies from sensor-to-sensor and over time, the gain must be calibrated if measurements are to be taken in terms of absolute I DDQ. One solution is to bump up V DD, measure the proportional increase in total chip I DDQ and sensor values. If I DDQ increased proportionally in all sensors, then the different sensor values indicate relative sensor gains. This also provides an indirect measurement of the temperature distribution across the chip. An alternative approach to gain calibration is to insert a switched current source into the V DD line feeding each BICS sensor. This source would then provide a step increase in current, which would cause a corresponding increase in BICS counter value. Gain calibration becomes less important as statistical and self-scaling techniques such as NNR, CR and NCR are used for pass/fail determination.

IV. Conclusions This paper compares the test results of a built-in current sensor fabricated in 350 nm and 1.5 µm CMOS technologies and discusses several technology scaling issues. A new stochastic sensor design incorporating a preamplifier has been proposed for better SNR over the previous design. The calibration circuit will use high V TH devices for leakage reduction, achieving an acceptable drift rate. An on-chip controller design has been outlined for achieving tester push button operation. Several approaches for sensor area reduction were also discussed. References [1] H. Kim, D. M. H. Walker and D. Colby, A Practical Built-In Current Sensor for I DDQ Testing, IEEE International Test Conference, 2001, pp. 405-414. [2] B. Xue and D. M. H. Walker, Built-in Current Sensor for I DDQ Testing, IEEE International Workshop on Defect Based Testing, Apr. 2004, pp. 1-7. [3] B. Xue and D. M. H. Walker, I DDQ Test Using Built-In Current Sensing of Supply Line Voltage Drop, IEEE International Test Conference, 2005, submitted. [4] B. Kong, J. Choi, S. Lee and K. Lee, Charge Recycling Differential Logic (CRDL) for Low Power Application, IEEE Journal of Solid-State Circuits, vol. 31, pp. 1267-1276, 1996.