International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

Similar documents
Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

CMOS DESIGN OF FLIP-FLOP ON 120nm

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

DESIGN AND ANALYSIS OF ADDER CIRCUITS USING LEAR SLEEP TECHNIQUE IN CMOS TECHNOLOGIES

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Power Optimization by Using Multi-Bit Flip-Flops

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

A Power Efficient Flip Flop by using 90nm Technology

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

P.Akila 1. P a g e 60

A Low-Power CMOS Flip-Flop for High Performance Processors

LFSR Counter Implementation in CMOS VLSI

LEAKAGE POWER REDUCTION AND ANALYSIS OF CMOS SEQUENTIAL CIRCUITS

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology

Level Converting Retention Flip-Flop for Low Standby Power Using LSSR Technique

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

Noise Margin in Low Power SRAM Cells

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Design and Analysis of CNTFET Based D Flip-Flop

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

DESIGN OF LOW POWER TEST PATTERN GENERATOR

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Clock Branch Shearing Flip Flop Based on Signal Feed Through Technique

Single Edge Triggered Static D Flip-Flops: Performance Comparison

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

A Novel Approach for Auto Clock Gating of Flip-Flops

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

Design of Low Power Universal Shift Register

Power Analysis of Double Edge Triggered Flip-Flop using Signal Feed-Through Technique

Low Power D Flip Flop Using Static Pass Transistor Logic

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Low Power Delay Buffer Using Gated Driver Tree

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

An FPGA Implementation of Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

A Reduced Clock Power Flip-Flop for Sequential Circuits

An efficient Sense amplifier based Flip-Flop design

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

II. ANALYSIS I. INTRODUCTION

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

LOW POWER & AREA EFFICIENT LAYOUT ANALYSIS OF CMOS ENCODER

Minimization of Power for the Design of an Optimal Flip Flop

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Transcription:

Power and Area analysis of Flip Flop using different s Neha Thapa 1, Dr. Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department of E.C.E, NITTTR, Chandigarh, India Abstract - The use of very large scale Integration (VLSI) technology in high performance computing, wireless communication and consumer electronics has been rising at a very fast rate. The challenge of advanced VLSI technology is growing in leakage power consumption. In these days standby leakage power dissipation is emerging as the major design consideration. Leakage control is very important, especially for low power applications and handheld devices such as cellular phones and PDA. This paper enumerates a low power and area of proposed pass transistor based flip flop using self adjustable voltage level circuit by comparison with different CMOS s. This work analysis the power and area of three different types of D flip-flops using pass transistors, transmission gates and gate diffusion input gates. All the circuits are simulated with and without application of leakage reduction s. The circuits are simulated with CMOS in MICROWIND and DSCH using 180nm technology. Keywords : CMOS, Leakage Power, Pass Transistors, Process Technology, Stacking Effect, Transmission Gates 1. Introduction With development in technology and increasing demand of battery operated mobile platforms like laptop, palmtop computers, cellular phones, wireless modems and portable multimedia applications, etc. has directed the VLSI designers to be more power aware [1]. Most of the current designs are synchronous, which implies that flip-flops and latches are concerned in one way or another in the data and control paths. One of the challenges of low power methodologies for synchronous systems is the power utilization of these flip-flops and latches. It is significant to save power in these flip-flops and latches without compromising state reliability or performance. Several researchers have worked on low power flip-flop design, but they are mostly focused on one or a few types of flip-flops or applications. The requirement for comparing different designs and approaches is the main motivation for this paper. The main tradeoffs of any flip-flop are very important for a Power consumption of the clock system increases considerably and clock uncertainties take a significant part of the clock cycle at high frequencies. Moreover the non-ideal clock distribution fallout in degradation of the clock waveform, power supply noise and crosstalk. About 30%-70% of the total power of the system is dissipated due to clocking network, and the Flip-Flop. The latest advancement in computing technology has set a goal of high performance with low power consumption for VLSI designer [2]. Flip-flops are important timing elements in digital circuits which have a great impact on circuit power consumption and speed. The performance of the Flip-Flop is an important element to conclude the performance of the whole synchronous circuit, particularly in deeper pipelined design. Technology scaling of a transistor feature size has provided a remarkable innovation in silicon industry for the past few decades. Designers are striving for small silicon area, higher speed, low power consumption and reliability due to ever increasing demand and popularity of portable electronics. With the increasing use of mobile devices, consumer electronics markets demand a stringent constraint on reducing the power dissipation. In order to reduce the complexity of circuit design, a large proportion of For improving the performance one innovating is to increase the clock frequency [3]. The design for low power issues can t be overcome without defining the power prediction and optimization tools. Therefore, there is a critical need for certain tools to calculate power dissipation during the design to meet the power constraints to ignore the costly redesign effort However, using high clock frequency has many disadvantages. Power consumption of the clock system increases dramatically and clock uncertainties take a significant part of the clock cycle at high frequencies. Moreover the nonideal clock distribution results in degradation of the clock waveform, power supply noise and crosstalk. About 30%-70% of the total power of the system is dissipated due to clocking network, and the Flip-Flops [3]. An another clocking approach is based on the use of storage elements which are capable of capturing data on ISSN: 2231-2803 http://www.ijcttjournal.org Page 57

both rising and falling edges of the clock. Such storage elements are termed as Dual-Edge Triggered Flip-Flops (DETFFs). In this scenario, same data throughput can be achieved at half of the clock frequency as compared to the single edge triggered Flip-Flops [4]. In other words,we can say that double edge clocking can be used to save half of the power in the clock distribution network.storage elements which are capable of capturing data on both rising and falling edges of the clock. Such storage elements are termed as Dual-Edge Triggered Flip-Flops (DETFFs). In this scenario, same data throughput can be achieved at half of the clock frequency as compared to the single edge triggered Flip-Flops [5]. In other words, we can say that double edge clocking can be used to save half of the power in the clock distribution networkthe designer s main purpose in the field of digital circuit design is minimization of power consumption. A large part of research has been performed to expand and advance conventional Complementary Metal Oxide Semiconductor s for the fabrication of ULTRA low power integrated circuits. These advancements are responsible for special design s for digital circuits distant from conventional CMOS design style. Gate Diffusion Input design was introduced as a promising alternative to complementary CMOS Logic design. The GDI cell contains three inputs: G (common gate input of NMOS and PMOS), P (input to the source/drain of PMOS), and N (input to the source/drain of NMOS). The GDI cell structure is different from the existing PTL s [7]. Voltage scaling is associated with threshold voltage scaling which can cause the leakage power to increase exponentially. By using double-edge triggered flip-flops (DETFFs), the clock frequency can be significantly reduced ideally, cut in half while preserving the rate of data processing. It must be remarked that not all of the functions are possible in standard p-well CMOS process, but can be successfully implemented in twin-well CMOS or silicon on insulator (SOI) technologies. Dynamic power is proportional to the square of the supply voltage, contributes highest power consumption among the three. Therefore, reducing the supply voltage is the most valuable way to reduce power consumption of the design. Reduction in clock frequency is another alternative to reduce the dynamic power.the double edge clocking approach is adapted in this paper to reduce the clock frequency. Fig.1 Basic GDI cell Stack forced is based on the fact that natural stacking of MOSFET helps in achieving leakage current. The leakage through two series OFF transistor is much lower than that of single transistor because of stack effect. Power consumption of CMOS consists of dynamic and static components. Dynamic power is consumed when transistors are switching, and static power is consumed apart from of transistor switching. An effective way to reduce leakage power in active mode is stacked of the transistor. The proposed works concurrently with voltage and frequency scaling and power reduction is achieved by power gating within the clock cycle during which is applied during idle mode [8]. wider use of sequential logic and memory storage systems in modern electronics outcome in the implementation of low power and high speed design of basic memory elements. One of the most important basic memory elements is the D flip-flop (DFF). Three different designs of D flip-flops in CMOS logic are presented in this section 2. CMOS Implementation of D Flip Flop The wide exploit of sequential logic and memory storage systems in modern electronics results in the realization of low power and high speed design of basic memory elements. One of the most important basic memory elements is the D flip-flop (DFF). Three different ISSN: 2231-2803 http://www.ijcttjournal.org Page 58

designs of D flip-flops in CMOS logic are presented in this section. The D flip-flop combines a pair of master and slave D latch. Design I use pass transistors and inverters for the master-slave latches [10]. The flip-flop changes its state during the falling edge of the clock. Fig 2 shows the design II that uses transmission gates and inverters. The D flipflop combines a pair of master and slave D latch. Design I use pass transistors and inverters for the master-slave latches. The two chained inverters are in memory state when the PMOS loop transistor is on, that is when clock equals to 0. Other two chain inverters on the right hand side acts in the opposite way. complementary values of the internal signals and the circuit outputs. Fig.3 D FF using GDI Fig.2 D FF with pass transistor circuit Fig.3 shows design III with master-slave connection of two GDI D-latches. In this the body gates are responsible for the state of the circuit. These gates are controlled by the clock signal and create two alternative paths. One for transparent state of the latch, when the clock is low and the signals are propagating through PMOS transistors.the other one is in the holding state of the latch, when the clock signal is high and internal values are maintained due to conduction of the NMOS transistors. The inverters are responsible for maintaining the 3.D Flip Flop Reduction Techniques In this section two leakage reduction s, namely transistor stacking and selfadjustable voltage level circuit that is applied to the above circuits are described. The leakage current flowing through a stack of series connected transistors reduces when more than one transistor of the stack is turned OFF. This effect is known as the Stacking Effect. When two or more transistors that are switched OFF are stacked on top of each other then they dissipate less leakage power than a single transistor that is turned OFF. This is because each transistor in the stack induces a slight reverse bias between the gate and the source of the transistor, right below it, and this increases the threshold voltage of the bottom transistor making it more resistant to leakage[12]. ISSN: 2231-2803 http://www.ijcttjournal.org Page 59

Fig.4 D FF with stack forced Fig.6. shows the general self-adjustable voltage Level circuit, where Vdd is the supply voltage and VL is the output voltage of this circuit, which is applied to any load circuit. (In this paper D flip-flop is the load circuit). During the active mode (when SL=0), this circuit supplies maximum supply voltage to the load circuit through the ON PMOS transistor (P1) so that the load circuit can operate quickly. During the standby mode (SL=1), it provides slightly lower supply voltage to the load circuit through the weakly ON NMOS transistors So the voltage applied to the load circuit is given by: V L = V dd V n Where Vn is the voltage drop of m weakly ON NMOS transistors. The drain to source voltage Vdsn of the OFF NMOS in the standby mode is expressed as: V dsn = V L - Vss = V L Vdsn can be decreased by increasing Vn that is increasing m, the number of NMOS transistors. When Vdsn is decreased, the drain- induced barrier-lowering (DIBL) effect is decreased this in turn increases the threshold voltage Vtn of NMOS transistors. Consequently the sub threshold leakage current of the OFF MOSFETs decreases, so leakage power is minimized, while data are retained. Fig.7 shows the proposed leakage power reduced D flip-flop design using self adjustable voltage level circuit. Fig. 5 D FF with self adjustable voltage level circuit 3. Layout Design Analysis Various layout design implementation using different s has been shown below: Fig. 6 Layout design of D FF with pass transistor circuit Fig.7 Layout design of D FF using GDI ISSN: 2231-2803 http://www.ijcttjournal.org Page 60

Fig.8 Layout design of D FF with stack forced Fig.11 I/O waveform using Self adjustable voltage level circuit Comparison table of different s shown below: Various Techniques used GDI Pass transistor circuit Stack force Self adjustable circuit Power (µw) Area (µm 2 ) 0.0135 0.0293 0.0259 0.0254 305.1 229.1 202.9 198.4 Fig. 9 Layout design of D FF with self adjustable voltage level circuit In these works different designs of D flip-flops have been implemented in 180 nm CMOS process technology. The simulations are done in MICROWIND by using 180 nm technology. The Fig. 8 and Fig. 9 show the input-output waveforms of D flip-flop with stack force and Self adjustable voltage level s. 5. Conclusion In this paper three CMOS implementation of DFFs using pass transistors, transmission gates and GDI gates are proposed. The average power and area of the designs are presented. The leakage power of all the designs decreases when reduction s are applied. The percentage reduction of leakage power is more with the proposed SAL. Therefore, for low leakage power applications SAL design can be used in 180 nm technology. In low power applications area and power consumption of the device are the main technological aspects to prefer a design over the other contending designs. In this paper various literatures have studied and based on all the above comparisons among different s will be done to find which one is better. 7. References [1] Surya Naik and Rajeevan Chandel, Design of a Low Power Flip-Flop Using CMOS Deep Submicron Technology, IEEE International Conference on Recent Trends in Information, Telecommunication and Computing (ITC),pp. 253-256, 2010. Fig.10 I/O waveform using stack force [2] Z. Peiyi, M. Jason, K. Weidong, W. Nan, and W. Zhongfeng, Design of Sequential Elements for Low Power Clocking System. IEEE Transaction on Very large Scale Integration, pp. 914-918, July 2010. ISSN: 2231-2803 http://www.ijcttjournal.org Page 61

[3] M. Janaki Rani and S. Malarkann, Leakage Power reduction and analysis of CMOS sequential circuits, International Journal of VLSI design & Communication Systems Volume3, pp.13-23, February 2012. [4] Bhuvana S, Sangeetha R, A Survey on Sequential Elements for Low Power Clocking System, Journal of Computer Applications, ISSN: 0974 1925, Volume 5, 10 February 2012. Jalandhar, India. Mr. Mehra has more than 16 years of academic experience. He has authored more than 100 research papers including more than 50 in Journals. Mr. Mehra s interest areas are VLSI Design, Embedded System Design, and Advanced DSP. [5] A. G. M. Strollo, D. De Caro, E. Napoli, and N. Petra, A novel high speed sense-amplifier-based flipflop, IEEE Trans. Very Large Scale Integration (VLSI) Syst.,Volume 13, no. 11, pp. 1266 1274, Nov. 2005. [6] Z. Peiyi, M. Jason, K. Weidong, W. Nan, and W. Zhongfeng, Design of Sequential Elements for Low Power Clocking System. IEEE Transaction on Very large Scale Integration, pp. 914-918, July 2 [7] C. K. Teh, M. Hamada, T. Fujita,H. Hara, N. Ikumi, and Y. Oowaki, Conditional Data Mapping Flip-Flops for Low-Power and High-Performance Systems, IEEE Transactions on very large scale integration (VLSI) systems, Volume 14, No. 12, pp. 1379-1383, December 2006. [8]Tanvi Sood, Rajesh Mehra, "Design a Low Power Half Subtractor Using 90 μm CMOS Technology", IOSR journal of VLSI and Signal Processing, Volume :2, pp. 51-56, 2013. [9] Bhuvana S, Sangeetha R, A Survey on Sequential Elements for Low Power Clocking System, Journal of Computer Applications, ISSN: 0974 1925, Volume 5, 10 February 2012. [10] Prashant Upadhyay, Rajesh Mehra, "Low Power Design of 64-bits Memory by using 8-T Proposed SRAM Cell", International Journal of Research and Reviews in Computer Science, Volume 1, pp. 168-172, 2010, ISBN 2079-2557. [10] Pushpa Saini, Rajesh Mehra, "A Novel Technique for Glitch and Leakage Power Reduction in CMOS VLSI Circuits", International Journal of Advanced Computer Science and Applications, Volume 3, pp. 161-168, 2012, ISBN 0975-888. [11] Akhilesh Verma, Rajesh Mehra, "Design and Analysis of Conventional and Ratioed CMOS Logic Circuit", IOSR Journal of Engineering, Volume 2, pp. 25-29, 2013. [12] Anjali Sharma, Rajesh Mehra, "Area and Power Efficient CMOS Design by hybridizing PTL and GDl Techniques", International Journal of Computer Applications, Volume 66, pp. 15-22, 2013, ISBN 0975-888 AUTHORS BIOGRAPHY Neha Thapa is currently pursuing Regular M.E. from National Institute of Technical Teachers Training and Research, Chandigarh Sec-26. She has completed her B.Tech from Amritsar college of Engineering & Technology, Amritsar (Punjab). Dr. Rajesh Mehra is currently Associate Professor at National Institute of Technical Teachers Training & Research, Chandigarh, India. He has completed his M.E. From NITTTR, Chandigarh, India and B. Tech.from NIT, ISSN: 2231-2803 http://www.ijcttjournal.org Page 62