Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Similar documents
VLSI System Testing. BIST Motivation

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Lecture 23 Design for Testability (DFT): Full-Scan

Design for Testability

VLSI Test Technology and Reliability (ET4076)

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Testing Digital Systems II

Overview: Logic BIST

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Design of Fault Coverage Test Pattern Generator Using LFSR

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

ECE 715 System on Chip Design and Test. Lecture 22

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Scan. This is a sample of the first 15 pages of the Scan chapter.

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

This Chapter describes the concepts of scan based testing, issues in testing, need

Based on slides/material by. Topic Testing. Logic Verification. Testing

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

Chapter 8 Design for Testability

Testing Sequential Circuits

Design for Testability Part II

DESIGN FOR TESTABILITY

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

K.T. Tim Cheng 07_dft, v Testability

Unit V Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability

Diagnosis of Resistive open Fault using Scan Based Techniques

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Design for test methods to reduce test set size

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Testing Digital Systems II

Lecture 18 Design For Test (DFT)

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

CSE 352 Laboratory Assignment 3

Design of BIST with Low Power Test Pattern Generator

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Testing Digital Systems II

ISSN (c) MIT Publications

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

DESIGN OF LOW POWER TEST PATTERN GENERATOR

E-Learning Tools for Teaching Self-Test of Digital Electronics

Slide Set 14. Design for Testability

Implementation of UART with BIST Technique

Using minterms, m-notation / decimal notation Sum = Cout = Using maxterms, M-notation Sum = Cout =

SIC Vector Generation Using Test per Clock and Test per Scan

Doctor of Philosophy

Computer Architecture and Organization

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

Chapter 3 Unit Combinational

New Directions in Manufacturing Test

Evaluating BIST Architectures for Low Power

High-Frequency, At-Speed Scan Testing

Sequential Design Basics

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

At-speed testing made easy

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Design of BIST Enabled UART with MISR

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Chapter 3. Boolean Algebra and Digital Logic

CHAPTER 4: Logic Circuits

Chapter Contents. Appendix A: Digital Logic. Some Definitions

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Techniques for Seed Computation and Testability Enhancement for Logic Built-In Self Test

I. INTRODUCTION. S Ramkumar. D Punitha

Changing the Scan Enable during Shift

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

Principles of Computer Architecture. Appendix A: Digital Logic

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

Administrative issues. Sequential logic

Transcription:

Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan

Outline Testing Process Fault Modeling Test Pattern Generation Fault Simulation Design-for-Testability Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2

Test Process The testing problem Given a set of faults in the circuit under test (or device under test), how do we obtain a certain (small) number of test patterns which guarantees a certain (high) fault coverage? Test process What faults to test? (fault modeling) How are test pattern obtained? (test pattern generation) ) How is test quality (fault coverage) measured? (fault simulation)? How are test vectors applied and results evaluated? (ATE/BIST) Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 3

Defect Categories Defect categories Random defects, which are independent of designs and processes Systematic defects, which depend on designs and processes used for manufacturing For example, random defects might be caused by random particles scattered on a wafer during manufacturing A resistive open defect [Source: Cadence] Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 4

Logical Fault Models Systematic defects might be caused by process variations, signal integrity, and design integrity issues. It is possible both random and systematic defects could happen on a single die With the continuous shrinking of feature sizes, somewhere below the 8nm technology node, system defects have a larger impact on yield than random defects Logical faults Logical faults represent the physical defects on the behaviors of the systems Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 5

Single Stuck-At Fault Single (line) stuck-at fault The given line has a constant value (/) independent of other signal values in the circuit Properties Only one line is faulty The faulty line is permanently set to or The fault can be at an input or output of a gate Simple logical model is independent of technology details It reduces the complexity of fault-detection algorithms One stuck-at fault can model more than one kind of defect Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 6

Single Stuck-At Fault Example A circuit with single stuck-at fault s/ ( () POWER IN Output t Shorted to OUT GROUND Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7

Number of Single Stuck-At Faults Number of fault sites in a Boolean gate circuit #PI + #gates + #(fanout branches) Example: XOR circuit has 2 fault sites ( ) and 24 single stuck-at faults Faulty circuit value s/ Good circuit value c j () a d () g h z i b e f k Test pattern (vector) for h s/ fault Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 8

Test & Test Set α A test for a fault in a circuit C is an input combination for which the output(s) of C is different when α is present than when it is not. A.k.a. test pattern or test vector X detect then α f ( X ) f ( X ) = A test set for a class of faults A is a set of tests T such that α A, t T and t detects The test set for a fault is T = f f For example, X X 2 α X s/ f=x X 2 +X 3 X 4 3 3 X 4 α α T α = ( X = α = f f X X X 3 2 X + 4 α X + 3 X X 2 4 X 3 X 4 α ) X = {,,} Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 9 X 2

Testing & Diagnosis Testing is a process which includes test pattern generation, test pattern application, and output evaluation. Fault detection tells whether a circuit is fault-free or not Fault location provides the location of the detected fault Fault diagnosis provides the location and the type of the detected fault The input X distinguishes a fault from another fault iff f ( X ) f ( X ), i.e., f ( X ) f ( X ) = β α β α α β Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU

Testing & Diagnosis Example: a b c a c b c a/ c a/ c b/ c b/ c c/ c c/ C a/ and C c/ are detected by the test pattern (,) If we apply two test patterns: (,) & (, ) Two corresponding outputs are faulty C c/ Only the output with respect to the input (,) is faulty C a/ Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU

Functional vs. Structural Test Consider a 64-bit adder as shown below s/, s/ A i s/, s/ A BC in Sum i 64 64 B i C inis/, s/, s/ s/ B s/, s/ A i s/, s/ s/ s/ B i 64 s/, s/ C s/ in i+ s/, s/ Carry Sum C in i s/ s/ s/ s/, s/ Functional Test s/ Structural Test Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2

Functional vs. Structural Test Functional test Generate complete set of tests for circuit input- output combinations 29 inputs & 65 outputs 2 29 =68,564,733,84,876,926,926,749,24, 84 876 749 24 863,536,422,92 test patterns are required Using GHz ATE, would take 2.5 x 22 years Structural test 64 bit slices and each slice has 27 faults (using fault collapsing) At most 64x27=728 faults, thus only 728 test patterns are required Takes.728 seconds on GHz ATE Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 3

Algorithm Types of Test Pattern Generation Exhaustive test generation Completely exercise the fault-free behavior Appropriate only when the number of PIs is small Detects all the universal faults (i.e., all combinational faults) Pseudoexhaustive test generation Test most of universal faults by applying exhaustive test on subsets of PIs Pseudorandom test generation Generate test pattern deterministically Patterns have many characteristics of random patterns but are repeatable Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 4

Algorithm Types of Test Pattern Generation Algorithmic (deterministic) test generation Algebraic (symbolic) techniques SPOOFs Line condition equations Boolean difference Path-oriented techniques Single-path sensitization D-algorithm PODEM FAN Produces higher-efficiency test patterns, but its cost is more expensive Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 5

Test Pattern Generation Procedure Fault activation or excitation Specify inputs so as to generate the appropriate value at the fault site, i.e., for s/ and for s/ Fault propagation Select a path from the fault site to an output and specify other signal values to propagate the fault (error signal) along the path to the output Line justification Specify input values so as to produce the signal values specified in fault activation and fault propagation, i.e., perform consistency check Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 6

An Example Use single-path sensitization to derive a test set for in the following circuit α a/ D G b A B C G3 G2 a G5 G6 f f2 E G4 Generate a appropriate value a=. A=B=C= c Choose a path via G5 b= A=D=. Contradiction! Try another path via G6 c= C= and E=. OK! Therefore, T=ABCE Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7

Fault Simulation Fault simulation In general, simulating a circuit in the presence of faults is known as fault simulation The main goals of fault simulation Measuring the effectiveness of the test patterns Guiding the test pattern generator program Generating fault dictionaries Outputs of fault simulation Fault coverage - fraction (or percentage) of modeled faults detected by test vectors Set of undetected faults Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 8

Elements of Fault Simulation The fault simulation process is illustrated as below Fault List Test Set Design Model Library Fault Simulator Evaluation The fault simulator affects the speed of overall fault simulation Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 9

Design for Testability Definition A fault is testable if there exists a well-specified procedure to expose it, which is implementable with a reasonable cost using current technologies. A circuit is testable with respect to a fault set when each and every fault in this set is testable t Definition Design for testability (DFT) refers to those design techniques that make test generation and test application cost-effective Electronic systems contain three types of components: (a) digital logic, (b) memory blocks, and (c) analog or mixed-signal circuits Here, we only discuss DFT techniques for digital logic Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2

Ad Hoc DFT Guidelines Partition large circuits into smaller subcircuits to reduce test generation cost (using MUXed and/or scan chains) T T 2 Mode T T 2 C C2 Normal Test C Test C2 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2

Ad Hoc DFT Guidelines Insert test points to enhance controllability & observability Test points: control points & observation points OP C C2 CP CP2 CP 3 CP 4 C2 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 22

Ad Hoc DFT Guidelines Design circuits to be easily initializable Provide logic to break global feedback paths Partition large counter into smaller ones Avoid the use of redundant logic Keep analog and digital circuits physically apart Avoid the use of asynchronous logic Consider tester requirements (pin limitation, etc) Etc Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 23

Scan Design Approaches Consider a representation of sequential circuits (primary inputs) X (present state) clk y Combinational Logic state (primary outputs) Y Z (next state) To make elements of state vector controllable and observable, we add A TEST mode pin (T) A SCAN-IN pin (SI) A SCAN-OUT pin (SO) A MUX (switch) in front of each FF (M) Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 24

Adding Scan Structure PI PO Combinational logic SFF SFF SCAN-OUT SFF T SCAN-IN Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 25

Scan Test Generation & Design Rules Test pattern generation Use combinational ATPG to obtain tests for all testable faults in the combinational logic Add shift register tests and convert ATPG tests into scan sequences for use in manufacturing test Scan design rules Use only clocked D-type of flip-flops for all state variables At least one PI pin must be available for test; t more pins, if available, can be used All clocks must be controlled from PIs Clocks must not feed data inputs of flip-flops Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 26

Scan Test Procedure Step : Switch to the shift-register mode and check the SR operation by shifting in an alternating sequence of s and s, e.g., (functional test) Step 2: Initialize the SR---load the first pattern Step 3: Return to the normal mode and apply the test pattern Step 4: Switch to the SR mode and shift out the final state while setting the starting state for the next test. Go to Step 3 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 27

Combining Test Vectors PI I I2 O O2 PO SCAN-IN T Combinational logic SCAN-OUT Presen t state S S2 N N2 Next state t Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 28

Combining Test Vectors PI I I2 Don t care or random bits SCAN-IN S S2 T PO O O2 SCAN-OUT N N2 Sequence length = (n comb + ) n sff + n comb clock periods n comb = number of combinational vectors n sff = number of scan flip-flops Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 29

Testing Scan Register Scan register must be tested prior to application of scan test sequences A shift sequence... of length n sff +4 in scan mode (TC=) produces,, and transitions in all flip-flops and observes the result at SCAN-OUT output Total scan test length: (n comb +2)n sff +nn comb +4 clock periods Example: 2, scan flip-flops, 5 comb. vectors, total scan test length ~ 6 clocks Multiple scan registers reduce test length Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 3

Introduction to Built-In Self-Test Built-in self-test (BIST): The capability of a circuit (chip/board/system) to test itself Advantages of BIST Test patterns generated on-chip controllability increased (Compressed) response evaluated on-chip observability increased Test can be on-line (concurrent) or off-line Test can run at circuit speed more realistic; shorter test time; easier delay testing External test equipment greatly simplified, or even totally eliminated Easily adopting to engineering changes Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 3

Introduction to Built-In Self-Test On-line BIST Concurrent (EDAC, NMR, totally self-checking checkers, etc.): Coding or modular redundancy techniques (fault tolerance) Module Module 2 Voter Output Module N N-Modular Redundancy (NMR) Instantaneous correction of errors caused by temporary or permanent faults Nonconcurrent (diagnostic routines): Carried out while a system is in an idle state Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 32

Introduction to Built-In Self-Test Off-line BIST A typical BIST architecture Functional Circuit PG (Circuit it Under Test) RA Go/No-Go Test generation Controller BIST Prestored TPG, e.g., ROM or shift register Exhaustive TPG, e.g., binary counter Pseudo-exhaustive TPG, e.g., constant-weight counter, combined LFSR and SR Pseudo-random pattern generator, e.g., LFSR Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 33

Introduction to Built-In Self-Test Response analysis Check-sum Ones counting Transition counting Parity checking Syndrome analysis Etc. Linear feedback shift register (LFSR) can be both the test generator and response analyzer We need a gold unit to generate the good signature or a simulator Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 34

Structures of LFSR Two types of generic standard LFSRs C C 2 C N- C N D FF D FF D FF Y Y 2 Y N- Y N C C 2 C N- C N D FF D FF D FF Y Y 2 Y N- Y N Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 35

Pseudorandom Pattern Generator (PRPG) Example: the following ALFSR generates the pseudorandom sequence shown in the table below Q Q 2 Q 3 Q 4 output Q Q 2 State 2 3 4 5 6 7 8 9 2 3 4 5= Q 3 Q 4 The output sequence is, which repeats after 5(2 n -) clocks Max period for an n-stage ALFSR=2 n - Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 36 p g All- state of the register cannot occur in the max-length cycle

STUMPS Architecture Logic BIST with STUMPS architecture PRPG PIs Test control signal BS R CUT POs MISR Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 37