EECS 270 Homework the Last Winter 2017

Similar documents
EECS 270 Final Exam Spring 2012

EECS 270 Midterm 2 Exam Closed book portion Fall 2014

EECS 270 Midterm 1 Exam Closed book portion Winter 2017

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1.

EECS 270 Midterm Exam Spring 2011

EECS 270 Group Homework 4 Due Friday. June half credit if turned in by June

Software Engineering 2DA4. Slides 3: Optimized Implementation of Logic Functions

A Review of logic design

CS6201 UNIT I PART-A. Develop or build the following Boolean function with NAND gate F(x,y,z)=(1,2,3,5,7).

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

1. Convert the decimal number to binary, octal, and hexadecimal.

1.b. Realize a 5-input NOR function using 2-input NOR gates only.

Switching Circuits & Logic Design, Fall Final Examination (1/13/2012, 3:30pm~5:20pm)

Quiz #4 Thursday, April 25, 2002, 5:30-6:45 PM

Chapter 3. Boolean Algebra and Digital Logic

Using minterms, m-notation / decimal notation Sum = Cout = Using maxterms, M-notation Sum = Cout =

Computer Architecture and Organization

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012

Good Evening! Welcome!

A.R. ENGINEERING COLLEGE, VILLUPURAM ECE DEPARTMENT

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

1. True/False Questions (10 x 1p each = 10p) (a) I forgot to write down my name and student ID number.

Good Evening! Welcome!

CprE 281: Digital Logic

ME 515 Mechatronics. Introduction to Digital Electronics

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Question Bank. Unit 1. Digital Principles, Digital Logic

MODULE 3. Combinational & Sequential logic

Unit-5 Sequential Circuits - 1

Good Evening! Welcome!

CHAPTER 4 RESULTS & DISCUSSION

University of Florida EEL 3701 Fall 1996 Dr. Eric M. Schwartz

St. MARTIN S ENGINEERING COLLEGE

EE292: Fundamentals of ECE

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

Department of CSIT. Class: B.SC Semester: II Year: 2013 Paper Title: Introduction to logics of Computer Max Marks: 30

Microprocessor Design

ECE 301 Digital Electronics

EXPERIMENT: 1. Graphic Symbol: OR: The output of OR gate is true when one of the inputs A and B or both the inputs are true.

Department of Computer Science and Engineering Question Bank- Even Semester:

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 2 Logistics

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)


Final Examination (Open Katz, Calculators OK, 3 hours)

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

211: Computer Architecture Summer 2016

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

Digital Principles and Design

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

Laboratory Objectives and outcomes for Digital Design Lab

WEEK 10. Sequential Circuits: Analysis and Design. Page 1

EXPERIMENT 13 ITERATIVE CIRCUITS

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET

THE KENYA POLYTECHNIC

REPEAT EXAMINATIONS 2002

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering

Analogue Versus Digital [5 M]

Course Plan. Course Articulation Matrix: Mapping of Course Outcomes (COs) with Program Outcomes (POs) PSO-1 PSO-2

FE REVIEW LOGIC. The AND gate. The OR gate A B AB A B A B 0 1 1

1 Hour Sample Test Papers: Sample Test Paper 1. Roll No.

CS 151 Final. Instructions: Student ID. (Last Name) (First Name) Signature

Fall 2000 Chapter 5 Part 1

SEMESTER ONE EXAMINATIONS 2002

Flip-Flops and Sequential Circuit Design

Section 6.8 Synthesis of Sequential Logic Page 1 of 8

The word digital implies information in computers is represented by variables that take a limited number of discrete values.

Assignment 2b. ASSIGNMENT 2b. due at the start of class, Wednesday Sept 25.

Introduction to Digital Electronics

Physics 323. Experiment # 10 - Digital Circuits

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1


EET2411 DIGITAL ELECTRONICS

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011

S.K.P. Engineering College, Tiruvannamalai UNIT I

Digital Networks and Systems Laboratory 2 Basic Digital Building Blocks Time 4 hours

AM AM AM AM PM PM PM

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited

Midterm Exam 15 points total. March 28, 2011

Introduction to Sequential Circuits

TYPICAL QUESTIONS & ANSWERS

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

ECE 263 Digital Systems, Fall 2015

AIM: To study and verify the truth table of logic gates

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Registers and Counters

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

CprE 281: Digital Logic

EE 210. LOGIC DESIGN LAB.

Sequential Digital Design. Laboratory Manual. Experiment #3. Flip Flop Storage Elements

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

CS 61C: Great Ideas in Computer Architecture

a) (A+B) (C+D) b) AB+CD c) AC+BD d) (A+D) (B+C)

Logic Design II (17.342) Spring Lecture Outline

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

Sequential Design Basics

Chapter 5 Synchronous Sequential Logic

EECS 140 Laboratory Exercise 7 PLD Programming

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad ELECTRICAL AND ELECTRONICS ENGINEERING

Transcription:

EECS 270 Homework the Last Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This is an individual assignment. 2. Recall that you will get to drop one individual homework and one group homework. 3. This is would be a reasonable final 4. This assignment, like all the others, will be scored out of 30. You ll get your score divided by 3 (so up to a 33.3) as your score out of 30. Be sure to show work and explain what you ve done when asked to do so

1. Fill in each blank or circle the best answer. [12 points, -2 per wrong or blank answer, min 0] a. The 4-bit 2 s complement representation for -3 is. b. Write 12.34 as a decimal number. c. A*!B + A*C in canonical sum-of-products form is. d. B*C *D / A *C *D / A*D / A *C*D is an implicant of A *B *C + B*D. e. The truth table for a 4-input XOR gate has maxterms. f. In static CMOS you d need at least transistors to implement a 3-input OR gate. g. In the SPI bus, data sent to the initiator of an SPI transaction is generally placed on the SS / SSEL / MOSI / MISO / 007 wire. h. A set that allows for the correction of a 2 bit error would have a Hamming Distance of at least 2. Consider the an error correction scheme where P( ) is the even one s parity function (as in class) and there are 4 bits of data {ABCD}. If we are correcting 1 bit of error, and two of the error correction bits are sent as X=P(B,C,D), Y=P(A,C,D) then it must be the case that: Z=P( ) or Z=P( ) [4 points] 3. In the time period of the 7400 series chips, JK flip flops were commonly used. Now they are not. Why is that? What has changed? Your answer is to be no more than 40 words. [5 points]

4. On Logical Minimization and the Jargon Thereof. [9 points] Consider the following logic function represented in a Karnaugh map: ab/cd 00 01 11 10 00 0 1 0 0 01 0 0 1 0 11 1 1 1 0 10 0 d 1 1 a) List all of the Prime Implicants (provide as a comma separated list such as AB, AC, D) for this function: b) List all of the distinguished ones (provide the binary value of each distinguished one) for this function. c) Provide a minimal sum-of-products for this function: 5. Draw a state-transition diagram for the following state machine. [6 points] X D Q CLK Out

6. Write a Verilog module called Shift_Right6. It is to implement a six-bit shift-right register. o Inputs are clock, data_in and shift_enable o Output is the shift-register s value, Q[5:0]. The shift register should shift to the right on the rising edge of the clock if shift_enable is 1, placing the value of data_in into the most significant bit. Otherwise the register should hold its value. You will be graded for correctness, syntax and efficiency of you design and code. [8 points]

7. Find the minimal sum-of-products of F using the Quine-McClusky algorithm. For this problem we ll be grading your answer primarily based on your work so be sure to be careful, clear and neat. Use the format provided. [12 points] F=ΣA,B,C,D(0,6,9,11,12,13,14,15) Column I Column II Column III 0000 0110 1001 1100 1011 1101 1110 1111 List of Prime Implicants (Provide in the form AB, AC, D) List of distinguished ones (provide the binary value of each distinguished one): Minimal sum-of-products:

8. In class we discussed building a JK-flip flop using a D flip-flop module. Here we would like to build a configurable flip-flop out of a D flip-flop. Depending on two mode bits, it will either act like a T flip-flop, a JK flip flop, or a D flip flop. Examine the table below. mode flip-flop type I[1] I[0] 00 T flip-flop T don t care 01 JK flip-flop J K 10 D flip-flop D don t care So if mode=01, the device would work like a JK flip-flop with I[1] being treated as the J input and I[0] being the K input. We have provided part of an answer. There are three blanks you need to fill in below. [12 points] module conf_ff(clock,i,mode,q); input clock; input [1:0] I,mode; output Q; wire X; assign X = ( )? I[1] : (mode[0])? : (I[1] & ~Q & Q); Dff D1(clock, X, Q); endmodule

9. You were given the following FPGA implementation for two functions, F and G. [16 points] P0 P1 P2 P3 P4 P5 P6 P7 P8 P9 D A B C E C G F 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 11 1 1 0 00 1 1 0 1 a) Write these functions in sum-of-products form. [8 points, F is 3 points, G is 5] F = G = b) Modify the above diagram tables so that H=(D*!A)+(D*!B) by only adding things to blank spaces. If that cannot be done, explain why. [8]

10. Design a circuit which takes a 4-digit 2 s complement number X[3:0] and outputs a 5-digit two s complement Z[4:0] number which is twice the absolute value of X. If the result overflows, Z[5:0] s value does not matter. There is also an output called overflow which should be a 1 if, and only if, the output overflows. Your design must use the 4-bit adder shown and may use no more than 10 additional gates (2- input OR, AND, XOR as well as NOT gates). Remember that bubbles count as NOT gates. You may freely use ground and power. Answers that use more than 10 gates or use devices other than those 10 gates will receive no credit. [16 points] 4-bit adder A3 A2 A1 A0 B3 B2 B1 S3 S2 S1 S0 Cout B0