POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

Similar documents
Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

P.Akila 1. P a g e 60

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Power Optimization by Using Multi-Bit Flip-Flops

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

A Low-Power CMOS Flip-Flop for High Performance Processors

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

A Power Efficient Flip Flop by using 90nm Technology

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Figure.1 Clock signal II. SYSTEM ANALYSIS

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

An FPGA Implementation of Shift Register Using Pulsed Latches

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

A Low Power Delay Buffer Using Gated Driver Tree

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

Design of Shift Register Using Pulse Triggered Flip Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Low Power D Flip Flop Using Static Pass Transistor Logic

Asynchronous Data Sampling Within Clock-Gated Double Edge-Triggered Flip-Flops

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

LFSR Counter Implementation in CMOS VLSI

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements

Power-Optimal Pipelining in Deep Submicron Technology

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

A Symmetric Differential Clock Generator for Bit-Serial Hardware

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

DESIGN OF LOW POWER TEST PATTERN GENERATOR

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

A Novel Approach for Auto Clock Gating of Flip-Flops

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

Dual Slope ADC Design from Power, Speed and Area Perspectives

CMOS DESIGN OF FLIP-FLOP ON 120nm

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Retiming Sequential Circuits for Low Power

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

Comparative study on low-power high-performance standard-cell flip-flops

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

Reduction of Area and Power of Shift Register Using Pulsed Latches

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

New Low Glitch and Low Power Flip-Flop with Gating on Master and Slave Latches

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Low-Power Design of Sequential Circuits Using a Quasi-Synchronous Derived Clock *

CMOS Technology for Increasing Efficiency of Clock Gating Techniques Using Tri-State Buffer

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

Sequential Logic. References:

II. ANALYSIS I. INTRODUCTION

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Transcription:

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN 1 L.RAJA, 2 Dr.K.THANUSHKODI 1 Prof., Department of Electronics and Communication Engineeering, Angel College of Engineering and Technology, Tirupur,India 2 Director.,Akshaya College of Engineering and Technology, Coimbatore,India E-mail: 1 kaushikraja2000@gmail.com, 2 Thanush12@gmail.com ABSTRACT Arithmetic and Logic Unit (ALU) is one of the frequent and the most fundamental component in low power processor design. Besides Power consumption due to clock gated ALU can be significant in high performance systems. In general the functionality of ALU is a mixture of arithmetic and logic operations which are realized by means of combinational circuits. In this research work the power flow analysis of clock gated ALU circuits is analyzed and optimization of clock gated ALU power supply unit is done through special handing technique. The proposed design which controls the power supply unit of ALU via Clock Gated Edge Triggered (CGDET)flip-flop technique which outperforms the traditional Clock Gated Single-Edge-Triggered (CGSET) flip-flop and it reduces the power consumption by 2.2% while keeping the same data rate. In contrast with existing techniques the proposed method has simpler structure, lower delay time, condensed Power Delay Product (PDP), Energy Delay Product (EDP) and Static current has been tabulated. The work suggests that clock gated double edge triggering provides optimized power management for ALU design. Keywords: Clock Gated Single-Edge-Triggered (CGSET) Flip-Flop, Clock Gated - Edge - Triggered (CGDET) Flip-Flop, Power Delay Product, Energy Delay Product, Arithmetic And Logic Unit I. INTRODUCTION Now a day s power management (power density in W/mm 2 ) is the mounting issue in all part of chip design. As a chip manufacture, at architecture stage (all designs of 90nm and below) low power techniques need to be employed from RTL to GDSII. Due to aggressive leakage currents indeed cause high power (I 2 R) loss in CMOS circuitry. In related to the design issues some of the equations as follows = (1) Dynamic power consumed by the device, when it switches from one state to another state. Dynamic power consists of switching power, consumed while charging and discharging the loads on a device, and internal power (also referred to as Short circuit power), consumed internal to the device while it is changing state. = + (2) = (3) = ½ C L V 2 T R (4) The power consumption doesn t take place when device changes it states (also referred to as static power). When a device is both static and switching which consumes leakage power, but Generally the Main concern with leakage power is when the device is in its inactive state, as all the power consumed in this state is considered wasted power. Power in VLSI circuits is optimized through various clock gating styles. In this research work the power flow analysis of clock gated ALU circuits is analyzed and optimization of clock gated ALU power supply unit is done through special handing technique. In this paper the following section describes II.Related works III. Analysis of various 834

conventional CG (clock gated) circuits IV. Special handling techniques V.Results and comparison VI. Conclusion and future work as follows. 1.1 Statement of the Problem 2. RELATED WORKS There are various techniques available to optimize power in VLSI circuits. Some of them are: 1. Clock tree optimization and clock gating 2. Operand isolation 3. Logic restructuring 4. Logic resizing 5. Transition rate buffering 6. Multi-V th : 7. Multi-supply voltage 8. Dynamic voltage scaling 9. Adaptive voltage and frequency scaling 10. Memory splitting Clock signals are in toggle mode even there is no input, these signals dissipate dynamic power. By means of gating clock, 1.No loss during idle state the processor turns off 2. Power saved due to clocking gates 3. Logic on enable circuit is removed when it is in ideal state and return to function only when the inputs are enabled [5]. Recently researchers started concentrating on RTL design due to clock gating. The conditional logic block in the original RTL, before and after the clock-gating attribute is set [2]-[3].If the clock-gating logic of different registers in the design uses the same enable signal, RTL Compiler can merge these clock-gating instances for any such identically gated registers. This process is called clock-gating de-cloning. Clock gating utilize less power while comparing concerning with clock enable mode [1].In [6] pipelined architecture with low powered efficient ALU arrangement was developed. ALU with 16 functions, dedicated module for each function is established [7] particular module will perform operation to obtain to reduce power. 3. CG CIRCUITS-CONVENTIONAL APPROACHES 3.1. Based and Free Based Clock Gating Design Issues due to Dynamic power dissipation has analyzed and reduced using different traditional The techniques such as 1) -free based CG design 2)-based CG design 3)Flip-flop based CG design 4)Single edge CG design. Power dissipation Issues suffered by the traditional approach is overcome by the proposed method. The latch based design also allows a very natural and safe clock gating methodology [4], as shown in the Figure.2.it shows a simple and safe way of generating enable signals for clock gating. This method gives glitch free clock signals without adding of memory elements, as it is needed with D-flip-flop clock gating. it performs nicely the time borrowing and seems to analyze correctly the clocks for speed optimization.to ensure a glitch free clock, this AND gate has to be placed as shown in the Figure.1.thsi can be easily done manually by placing these AND gates in a separate level of hierarchy. With latch based design, the clock skew problem becomes relevant only when it value is close to the non-overlapping of the clock. It in turn increase the power consumption of the circuit than latch free based design because Using simple gates, latch free circuits can be designed as shown in Figure.2. In this circuit the operation of EN signal should be set 1 for all active edges to avoid truncate. If enable signal terms to be zero in between the clock pulse will make the gated clock ended before his life time This constraint makes the latch-free clock gating style inappropriate than latch based design. EN LATCH CLK Sel[3:0] CLK GATE From Data From Op Reg(A) Carry_In Reg(B) ALU Figure 1: ed clock gating based ALU Figure 2: free clock gating circuit 3.2. Single Edged Flip Flop Based Clock Gated Design The single positive-edge-triggered (SET) D- type flip-flop is shown in Figure.3. The data is passed to the output on the positive-going edge of 835

the clock pulse, when the input data is clocked. Clock triggering occurs at a voltage level and is not directly related to the rise time of the clock pulse [5]-[6]. Function of clock has represented in the equation (1). (5) The Flip-Flop (FF) based clock gate as shown in the Figure.4 Consists of a level sensitive latch in design to hold the enable signal from the active edge to the inactive edge of the clock as shown in Figure.5. As it is triggered at one edge it has high over head than proposed dual edge method. From Data From Op Reg(A) Carry_In Reg(B) EN FF Sel[3:0] ALU CLK CLK GATE Figure 3: FF Clock Gated Based ALU Figure 5: Clock Gated Single Edged Power Supply Unit_ ALU Distributing network. The DET and SET flip flops, static and dynamic, as shown in the Figure.6.both SET and DET flip flops have two D-type latches. In the DET flip flop the latched are arranged in parallel while in the SET flip flop they placed serially.det flip flop have been shown to have lower energy requirement (20%) then SET flip flops, with only a limited overhead in complexity. This has confirmed by analytical and simulation means. Function of clock has represented in the equation (2). (6) Figure 4: Clock Gated Single Edged Triggered Method 4. SPECIAL HANDLING TECHNIQUES 4.1. Dual Edge Triggering Clock Gated Design edge triggered (DET) flip flop is triggered on both edges of the clock pulses instead of only one edge. Using DET flip flop the clock frequency can be halved for the same data rate, thus reducing the power dissipation on the clock [7]-[8].DET and SET flip flop have comparable maximum data rates-the SET flip flop being slightly faster but requiring a clock operating at twice the frequency as the DET flip flop. In addition to the energy savings possible in the devices themselves, system energy saving also possible with the use of DET [9]-[10] flip flops. Complete structure with Dual edge triggering clock gated ALU design which links power supply circuit is shown in the Figure.7 836

= a.f.c eff.v dd 2 (8) Short-circuit power occurred when there is a transition between VDD and GND occurs = I sc.v dd.f (9) = f (V dd, V th, W/L) (10) The power comparison for various styles due to switching activity has shown in Table.1. Table 1: Shows the Power of various styles Time(u S) free based Power(W) Single end FF ended FF Figure 6: Clock gated Edged triggered method 5 1.386 0.358 0.135 0.123 10 0.241 0.218 0.118 0.115 15 0.230 0.198 0.113 0.108 20 0.149 0.129 0.107 0.0924 25 0.129 0.118 0.103 0.0873 Figure 7: Clock gated Edged power supply unit_ ALU 5. RESULTS AND COMPARISON 5.1. Power Power dissipated to drive the input of the flip flop is due to switching power, short-circuit and leakage power. [11]. Power= + + (7) Switching Activity Factor: α If the signal is a clock, α = 1 then If the signal switches once per cycle, α = ½.besides For Dynamic gates: switch is either 0 or 2 times per cycle, α = ½ and for the Static gates: depending on design, but typically α = 0.1 Besides the comparison of various styles with respect to power Vs time has shown in the Figure.8 2.5 Power (W) 2 1.5 1 0.5 0 5 10 15 20 25 Time (us) ended FF Single end FF based free Figure 8: Power graph comparison for various styles 5.2. Power Delay Product For most of the clock and data patterns the proposed method has the finest power-delay product among the various CG methods. [11]- [12].In particular data changes with respect to clock edge, the modified proposed method is the most attractive choice. For example, when clock activity rate is 0.5 and data activity for single end FF is same as input for the positive edge of the clock and unequal at the negative edge. In case of double end FF the data activity is same as input data for both the edges. The power comparison for 837

various styles due to switching activity has shown in Table.2. Table 2: Shows the PDP of various styles Time(us) Change of the PDP (p*t) for the conventional and proposed method with respect to switching time is has shown below in Figure.9 PDP (uw/s) 12 10 8 6 4 2 0 free based 5 10 15 20 25 Time (us) PDP(uw/s) Single end FF ended FF 5 6.93 1.79 0.675 0.615 10 2.41 2.18 1.18 1.15 15 3.45 2.97 1.695 1.62 20 2.98 2.58 2.14 1.848 25 3.225 2.95 2.575 2.182 ended FF Single end FF based free Figure 9: Power delay product graph comparison for various styles 5.3. Energy Delay Product The three foremost sources of energy utilization in a flipflop is input energy, which represents the energy dissipated to drive the input of the flipflop, clock energy, the energy dissipated at the internal nodes, internal energy the energy dissipated in the local clock buffer driving the clock. The most significant actuality about the energy dissipation of a flipflop is the function of input activity, besides also a function of clock activity. Energy can be saved by gating the clock, as is commonly done in proposed low-power designs. Table.3.shows the EDP (p*t 2 ) of various styles. Then the corresponding variation in the static current has shown which indicates the double edge triggered structure has less static current than the remaining methods. Table 3: Shows the EDP of various styles Circuits 6. CONCLUSION EDP (Energy Delay Product) W/S Static current I(mA) Free 147.68e-017 5.1311 Based 106.71e-017 2.929 FF With Single Edge 55.37e-016 2.5163 FF With Edge 36.48e-016 1.2705 Power reduction deals with synthesis, design at circuit level and placement and routing stages, now moved to the System Level and Register Transfer Level. The inactive unit of the ALU design is switch off by clock gating method and it helps to reduce overall power consumption in the system. In low power processor design the power flow analysis of the circuits has been studied and tabulated (T.1 & T.2).From the analysis it is noticed that the clock gated double edge triggering consumes less power when compared to conventional clocked gated single edge triggered flip flop. Further the analysis has been extended to latch free, clock gated latch with single edge and double edge circuits for ALU design, it is noticed that clock gated double edged circuit consumes low power. Hence the ALU unit designed with clock gated double edge circuit performs efficiently in terms of PDP (p*t), EDP (p *t 2 ) and static current. This paper indicates that if the SET flip-flops are linked in series then the conventional structure can be plainly replaced by DET flip-flops linked in parallel. In order to reduce dynamic power, Clock gating technique is one of the preferable methods. Reduction of leakage power consumption is done through extended clock gating technique. When clock gating circuit used in power supply unit of clock gated ALU which produces power noise it in turn reduced by making use of active resistance method in power supply unit for future enhancement. 838

List of Nomenclature C L : Capacitive loading, V: Voltage level, T R : Toggle rate, a = switching activity, f = switching frequency, C eff = effective capacitance, V dd = supply voltage, I sc = short-circuit current during switching, V dd = supply voltage, f = switching frequency, V dd = supply voltage, V th = threshold voltage, W = transistor width, L = transistor length. REFERENCES [1] J.P.Oliver, J. Curto, D. Bouvier, M. Ramos, and E. Boemo, Clock gating and clock enable for FPGA power reduction, in Proc. 8th Southern Conference on Programmable Logic (SPL), pp. 1-5, 2012. [2] Jagrit Kathuria, M.Ayoubkhan & Arti Noor Centre for Development of J. Shinde and S. S. Salankar, Clock gating-a power optimizing technique for VLSI circuits, in Proc. Annual IEEE India Conference (INDICON), pp. 1-4. [3] Advanced Computing, NOIDA, India. Review of Clock gating technique MIT International journal of Electronics and Communication Engineering, Vol.1 No.2 Aug 2011 pp106-114 ISSN 2230-7672 @ MIT Publication. [4] J. Castro, P. Parra and A. J. Acosta, Optimization of clock-gating structures for low-leakage high-performance applications in Proceedings of IEEE International Symposium on Efficient Embedded Computing, pp. 3220-3223, 2010. [5] V. Khorasani, B. V. Vahdat, and M. Mortazavi, Design and implementation of floating point ALU on a FPGA processor, IEEE International Conference on Computing, Electronics and Electrical Technologies (ICCEET), pp. 772-776, 2012. [6] S.Cisneros, J. J. Panduro, J. Muro, and E. Boemo, Rapid prototyping of a self-timed ALU with FPGAs, in Proc. International Conference on Reconfigurable Computing and FPGAs, pp. 26-33, 2012. [7] B.S. Ryu, J. S. Yi, K. Y. Lee, and T. W. Cho, A design of low power 16-bit ALU, in Proceedings of the IEEE TENCON Conference, pp.868-871, 1999. [8] M.Afghahi and J. Yuan, edgetriggered D-flip-flops for high-speed circuits, IEEE J. Solid-State Circuits, vol.26, no.8, pp.1168-1170, Aug. 1991. [9] A.Gago, R. Escano and J. A. Hidalgo, Reduced implementation of D-type DET flipflops, IEEE J. Solid-State Circuits, vol.28, no.3, pp.400-402, Mar. 1993. [10] A R.Hossain, L. D. Wronski and A. Albicki, Low Power Design using Edge Triggered Flip-flops, IEEE Trans. Systems VLSI S. Solid-State Circuits, Vol.2, no.2, pp.261-265, June 1994. [11] N.H.E.Weste and K. Eshraghian, Principles of CMOS VLSI Design, A System Perspective Reading MA: Addison-Wesley), 1993. [12] S. M. Kang, Accurate simulation of power dissipation in VLSI Systems, IEEE J. Solid state Circuits, Vol.21, no.5, pp.889-891 oct.1986 [1]. 839