Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report

Similar documents
Altera JESD204B IP Core and ADI AD9250 Hardware Checkout Report

AN 823: Intel FPGA JESD204B IP Core and ADI AD9625 Hardware Checkout Report for Intel Stratix 10 Devices

JESD204B IP Hardware Checkout Report with AD9250. Revision 0.5

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report

AN 696: Using the JESD204B MegaCore Function in Arria V Devices

Implementing Audio IP in SDI II on Arria V Development Board

Altera JESD204B IP Core and TI DAC37J84 Hardware Checkout Report

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design

SDI Audio IP Cores User Guide

Technical Article MS-2714

JESD204B IP Core User Guide

Serial Digital Interface II Reference Design for Stratix V Devices

Intel FPGA SDI II IP Core User Guide

Serial Digital Interface Reference Design for Stratix IV Devices

SDI II MegaCore Function User Guide

SDI Audio IP Cores User Guide

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs

SignalTap Plus System Analyzer

SDI II IP Core User Guide

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

11. JTAG Boundary-Scan Testing in Stratix V Devices

The ASI demonstration uses the Altera ASI MegaCore function and the Cyclone video demonstration board.

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family

Upgrading a FIR Compiler v3.1.x Design to v3.2.x

Serial Digital Interface Demonstration for Stratix II GX Devices

Intel Arria 10 SDI II IP Core Design Example User Guide

DG0755 Demo Guide PolarFire FPGA JESD204B Standalone Interface

Altera's 28-nm FPGAs Optimized for Broadcast Video Applications

SignalTap Analysis in the Quartus II Software Version 2.0

AD9884A Evaluation Kit Documentation

Video and Image Processing Suite

Video and Image Processing Suite User Guide

LMH0340/LMH0341 SerDes EVK User Guide

8. Stratix GX Built-In Self Test (BIST)

Arria-V FPGA interface to DAC/ADC Demo

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU

1 Terasic Inc. D8M-GPIO User Manual

SV1C Personalized SerDes Tester

GM69010H DisplayPort, HDMI, and component input receiver Features Applications

Using SignalTap II in the Quartus II Software

SV1C Personalized SerDes Tester. Data Sheet

Partial Reconfiguration IP Core

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

Trigger synchronization and phase coherent in high speed multi-channels data acquisition system

Lattice Embedded Vision Development Kit User Guide

Partial Reconfiguration IP Core User Guide

Quad ADC EV10AQ190A Synchronization of Multiple ADCs

AN 776: Intel Arria 10 UHD Video Reference Design

Laboratory 4. Figure 1: Serdes Transceiver

C-MAX. CMM-9301-V3.1S Bluetooth 4.0 Single Mode HCI Module. Description. 1.1 Features

Digital Front End (DFE) Training. DFE Overview

White Paper Versatile Digital QAM Modulator

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005

GALILEO Timing Receiver

Single Channel LVDS Tx

THDB_ADA. High-Speed A/D and D/A Development Kit

Interfacing the TLC5510 Analog-to-Digital Converter to the

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide

Achieving Timing Closure in ALTERA FPGAs

Solutions for a Real Time World. Unigen Corp. Wireless Module Products. PAN Radio Modules Demonstration & Evaluation Kit UGWxxxxxxxxx (Part Number)

AN1035: Timing Solutions for 12G-SDI

SMPTE-259M/DVB-ASI Scrambler/Controller

Laboratory Exercise 4

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs

IEEE802.11a Based Wireless AV Module(WAVM) with Digital AV Interface. Outline

SingMai Electronics SM06. Advanced Composite Video Interface: HD-SDI to acvi converter module. User Manual. Revision 0.

9. Synopsys PrimeTime Support

Optical Link Evaluation Board for the CSC Muon Trigger at CMS

Implementing SMPTE SDI Interfaces with Artix-7 FPGA GTP Transceivers Author: John Snow

GIGA nm Single Port Embeddable Gigabit Ethernet Transceiver. IP embeddability and system development. Main features. Operating conditions

SDI Development Kit using National Semiconductor s LMH0340 serializer and LMH0341 deserializer

Bitec. HSMC DVI 1080P Colour-Space Conversion Reference Design. DSP Solutions for Industry & Research. Version 0.1

Experiment: FPGA Design with Verilog (Part 4)

JNEye User Guide. 101 Innovation Drive San Jose, CA UG Subscribe Send Feedback

SMPTE 259M EG-1 Color Bar Generation, RP 178 Pathological Generation, Grey Pattern Generation IP Core AN4087

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

SPI Serial Communication and Nokia 5110 LCD Screen

ATA8520D Production and EOL Testing. Features. Description ATAN0136 APPLICATION NOTE

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

AT720USB. Digital Video Interfacing Products. DVB-C (QAM-B, 8VSB) Input Receiver & Recorder & TS Player DVB-ASI & DVB-SPI outputs

3. Configuration and Testing

DSM GHz Linear Chirping Source

Design and Implementation of Nios II-based LCD Touch Panel Application System

GM68020H. DisplayPort receiver. Features. Applications

Digital Blocks Semiconductor IP

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide

GM60028H. DisplayPort transmitter. Features. Applications

AT780PCI. Digital Video Interfacing Products. Multi-standard DVB-T2/T/C Receiver & Recorder & TS Player DVB-ASI & DVB-SPI outputs

AT660PCI. Digital Video Interfacing Products. DVB-S2/S (QPSK) Satellite Receiver & Recorder & TS Player DVB-ASI & DVB-SPI outputs

IEEE 100BASE-T1 Physical Coding Sublayer Test Suite

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

Serial Peripheral Interface

Major Differences Between the DT9847 Series Modules

Display Interfaces. Display solutions from Inforce. MIPI-DSI to Parallel RGB format

Transmission of High-Speed Serial Signals Over Common Cable Media

DMC550 Technical Reference

Transmission of High-Speed Serial Signals Over Common Cable Media

Agilent Parallel Bit Error Ratio Tester. System Setup Examples

FPGA Development for Radar, Radio-Astronomy and Communications

Transcription:

2015.11.02 Altera JESD204B IP Core and ADI AD6676 Hardware Checkout Report AN-753 Subscribe The Altera JESD204B IP Core is a high-speed point-to-point serial interface intellectual property (IP). The JESD204B IP Core has been hardware-tested with a number of selected JESD204B-compliant ADC (analog-to-digital converter) devices. This report highlights the interoperability of the JESD204B IP Core with the AD6676 converter evaluation module (EVM) from Analog Devices Inc. (ADI). The following sections describe the hardware checkout methodology and test results. Related Information JESD204B IP Core User Guide ADI AD6676 Evaluation Module Hardware Requirements The hardware checkout test requires the following hardware and software tools: Arria 10 GX FPGA Development Kit ADI AD6676 EVM Mini-USB cable SMA cable source card capable of providing external SMA reference clock to the EVM CLKIN (J5). Hardware Setup An Arria 10 GX FPGA Development Kit is used with the ADI AD6676 daughter card module installed on the development board's FMC connector. For FMC port B in the Arria10 GX FPGA Development Kit, apply a jumper at pin 5-6 of J8 to set the adjustable voltage to 1.8 V. The AD6676 EVM derives power from the Arria 10 FMC connector. An external reference clock can be fed into the ADC EVM for the ADC device clock. To use an external reference clock, remove R95 and R100 on the AD6676 EVM. Both the FPGA and ADC device clock must be sourced from the same clock source card. The ADC EVM buffers the external reference clock and sends it to the FPGA as the device clock. For subclass 1, the FPGA generates SYSREF for the JESD204B IP Core as well as the AD6676 device. 2015. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered www.altera.com 101 Innovation Drive, San Jose, CA 95134

2 Hardware Setup Figure 1: Hardware Setup AN-753 2015.11.02 External Reference FPGA Device SYSREF SYNC_N ADI AD6676 Evaluation Board Arria 10 GX FPGA Development Kit Figure 2: System-Level Block Diagram mgmt_clk 100 MHz jesd204b_ed_top.sv SignalTap II Arria 10 GX FPGA Development Kit jesd204b_ed.sv FMC AD6676 Evaluation Module rx_serial_data[1:0] (4.9152 Gbps) L0 L1 AD6676 Qsys System sclk, ss_n[0], miso, mosi 4-wire 2 (Virtual) Converters JTAG to Avalon Master Bridge Avalon-MM Slave Translator PIO Avalon-MM Interface signals global_rst_n Design Example JESD204B IP Core (Duplex) L=2, M=2, F=2 link_clk (122.88 MHz) frame_clk (122.88 MHz) PLL device_clk (245.76 MHz) Sysref (7.68 MHz) Sysref generator Buffer 4-wire device_clk (245.76 MHz) Sysref (7.68 MHz) SPI Slave Synthesizer device_clk (2.9 GHz) rx_dev_sync_n SMA (245.76 MHz)

AN-753 2015.11.02 Hardware Checkout Methodology 3 The system-level diagram shows how different modules connect in this design. In this setup, where LMF=222, the data rate of transceiver lanes is 4.9152 Gbps. An external reference clock of 245.76 MHz is sourced to the AD6676 EVM through the SMA. The EVM buffers the reference clock and provides the same device clock to the FPGA and AD6676. The ADC has an on-chip internal clock synthesizer that uses the reference clock to generate a 2.94912-GHz sampling clock to the converter. Hardware Checkout Methodology The following sections describe the test objectives, procedure, and the passing criteria. The test covers the following areas: Receiver data link layer Receiver transport layer Descrambling Deterministic latency (Subclass 1) Receiver Data Link Layer This test area covers the test cases for code group synchronization (CGS) and initial frame and lane synchronization. On link start up, the receiver issues a synchronization request and the transmitter transmits /K/ (K28.5) characters. The SignalTap II Logic Analyzer tool monitors the receiver data link layer operation.

4 Code Group Synchronization (CGS) Code Group Synchronization (CGS) AN-753 2015.11.02 Table 1: CGS Test Cases Test Case Objective Description Passing Criteria CGS.1 Check whether sync request is deasserted after correct reception of four successive /K/ characters. The following signals in <ip_variant_name>_ inst_phy.v are tapped: jesd204_rx_pcs_data[(l*32)-1:0] jesd204_rx_pcs_data_valid[l-1:0] jesd204_rx_pcs_kchar_data[(l*4)- 1:0] The following signals in <ip_variant_name>.v are tapped: rx_dev_sync_n jesd204_rx_int The rxlink_clk signal is used as the SignalTap II sampling clock. Each lane is represented by a 32-bit data bus in the jesd204_rx_pcs_data signal. The 32- bit data bus is divided into 4 octets. /K/ character or K28.5 (0xBC) is observed at each octet of the jesd204_rx_ pcs_data bus. The jesd204_rx_pcs_ data_valid signal is asserted to indicate data from the PCS is valid. The jesd204_rx_pcs_ kchar_data signal is asserted whenever control characters like /K/, /R/, /Q/ or /A/ characters are observed. The rx_dev_sync_n signal is deasserted after correct reception of at least four successive /K/ characters. The jesd204_rx_int signal is deasserted if there is no error. CGS.2 Check full CGS at the receiver after correct reception of another four 8B/ 10B characters. The following signals in <ip_variant_name>_ inst_phy.v are tapped: jesd204_rx_pcs_errdetect[(l*4)- 1:0] jesd204_rx_pcs_disperr[(l*4)-1:0] (1) The following signal in <ip_variant_name>.v is tapped: The jesd204_rx_pcs_ errdetect, jesd204_rx_pcs_ disperr, and jesd204_rx_ int signals should not be asserted during CGS phase. jesd204_rx_int The rxlink_clk signal is used as the SignalTap II sampling clock. (1) L indicates the number of lanes.

AN-753 2015.11.02 Initial Frame and Lane Synchronization 5 Initial Frame and Lane Synchronization Table 2: Initial Frame and Lane Synchronization Test Cases Test Case Objective Description Passing Criteria ILA.1 Check whether the initial frame synchronization state machine enters FS_DATA state upon receiving non /K/ characters. The following signals in <ip_variant_ name>_inst_phy.v are tapped: jesd204_rx_pcs_data[(l*32)- 1:0] jesd204_rx_pcs_data_valid[l- 1:0] jesd204_rx_pcs_kchar_ data[(l*4)-1:0] The following signals in <ip_variant_ name>.v are tapped: rx_dev_sync_n jesd204_rx_int The rxlink_clk signal is used as the SignalTap II sampling clock. Each lane is represented by a 32-bit data bus in the jesd204_rx_pcs_data signal. The 32-bit data bus is divided into 4 octets. /R/ character or K28.0 (0x1C) is observed after /K/ character at the jesd204_rx_ pcs_data bus. The jesd204_rx_pcs_data_ valid signal must be asserted to indicate that data from the PCS is valid. The rx_dev_sync_n and jesd204_rx_int signals are deasserted. Each multiframe in ILAS phase ends with /A/ character or K28.3 (0x7C). The jesd204_rx_pcs_ kchar_data signal is asserted whenever control characters like /K/, /R/, /Q/ or /A/ characters are observed. (2) L indicates the number of lanes.

6 Receiver Transport Layer AN-753 2015.11.02 Test Case Objective Description Passing Criteria ILA.2 Check the JESD204B configuration parameters from the ADC in the second multiframe. The following signals in <ip_variant_ name>_inst_phy.v are tapped: jesd204_rx_pcs_data[(l*32)- 1:0] jesd204_rx_pcs_data_valid[l- 1:0] (2) The following signal in <ip_variant_ name>.v is tapped: jesd204_rx_int The rxlink_clk signal is used as the SignalTap II sampling clock. The system console access the following registers: ilas_octet0 ilas_octet1 ilas_octet2 ilas_octet3 The content of 14 configuration octets in the second multiframe is stored in these 32-bit registers ilas_octet0, ilas_octet1, ilas_octet2, and ilas_ octet3. /R/ character is followed by / Q/ character or K28.4 (0x9C) at the beginning of the second multiframe. The jesd204_rx_int signal is deasserted if there is no error. Octets 0 13 read from these registers match with the JESD204B parameters in each test setup. ILA.3 Check the lane alignment The following signals in <ip_variant_ name>_inst_phy.v are tapped: jesd204_rx_pcs_data[(l*32)- 1:0] jesd204_rx_pcs_data_valid[l- 1:0] (2) The following signals in <ip_variant_ name>.v are tapped: rx_somf[3:0] dev_lane_aligned jesd204_rx_int The dev_lane_aligned signal is asserted upon the last /A/ character of the ILAS is received, which is followed by the first data octet. The rx_somf signal marks the start of multiframe in user data phase. The jesd204_rx_int is deasserted if there is no error. The rxlink_clk signal is used as the SignalTap II sampling clock. Receiver Transport Layer To check the data integrity of the payload data stream through the RX JESD204B IP Core and transport layer, the ADC is configured to output PRBS-9 test data pattern. The ADC is also set to operate with the same configuration as set in the JESD204B IP Core. The PRBS checker in the FPGA fabric checks data integrity for one minute.

AN-753 2015.11.02 Descrambling 7 This figure shows the conceptual test setup for data integrity checking. Figure 3: Data Integrity Check Using PRBS Checker ADC PRBS Generator TX Transport Layer TX PHY and Link Layer FPGA PRBS Checker RX Transport Layer RX JESD204B IP Core PHY and Link Layer The SignalTap II Logic Analyzer tool monitors the operation of the RX transport layer. Table 3: Transport Layer Test Cases Test Case Objective Description Passing Criteria TL.1 Check the transport layer mapping using PRBS-9 test pattern. The following signal in altera_ jesd204_transport_rx_top.sv is tapped: jesd204_rx_data_valid The following signals in jesd204b_ ed.sv are tapped: data_error jesd204_rx_int The rxframe_clk signal is used as the SignalTap II sampling clock. The data_error signal indicates a pass or fail for the PRBS checker. The jesd204_rx_data_ valid signal is asserted. The data_error and jesd204_rx_int signals are deasserted. Descrambling The PRBS checker at the RX transport layer checks the data integrity of the descrambler. The SignalTap II Logic Analyzer tool monitors the operation of the RX transport layer.

8 Deterministic Latency (Subclass 1) Table 4: Descrambler Test Cases AN-753 2015.11.02 Test Case Objective Description Passing Criteria SCR.1 Check the functionality of the descrambler using PRBS-9 test pattern. Enable scrambler at the ADC and descrambler at the RX JESD204B IP Core. The signals that are tapped in this test case are similar to test case TL.1 The jesd204_rx_data_ valid signal is asserted. The data_error and jesd204_rx_int signals are deasserted. Deterministic Latency (Subclass 1) Figure below shows a block diagram of the deterministic latency test setup. A SYSREF generator provides a periodic SYSREF pulse for both the AD6676 and JESD204B IP Core. The SYSREF generator is running in link clock domain and the period of SYSREF pulse is configured to the desired multiframe size. The SYSREF pulse restarts the LMFC counter and realigns it to the LMFC boundary. Figure 4: Deterministic Latency Test Setup Block Diagram mgmt_clk 100 MHz jesd204b_ed_top.sv SignalTap II Qsys System JTAG to Avalon Master Bridge Avalon-MM Slave Translator PIO Deterministic Latency Measurement Avalon-MM Interface signals global_rst_n Arria 10 GX FPGA Development Kit jesd204b_ed.sv Design Example JESD204B IP Core (Duplex) L=2, M=2, F=2 sclk, ss_n[0], miso, mosi link_clk (122.88 MHz) frame_clk (122.88 MHz) PLL device_clk (245.76 MHz) Sysref (7.68 MHz) 4-wire Sysref generator FMC AD6676 Evaluation Module rx_serial_data[1:0] (4.9152 Gbps) L0 L1 Buffer Sysref (7.68 MHz) rx_dev_sync_n 4-wire device_clk (245.76 MHz) SPI Slave AD6676 2 (Virtual) Converters Synthesizer device_clk (2.9 GHz) SMA (245.76 MHz) Figure 5: Deterministic Latency Measurement Timing Diagram Link State ILAS USER_DATA SYNC~ RX Valid Link Count 1 2 3 n - 1 n

AN-753 2015.11.02 JESD204B IP Core and ADC Configurations 9 With the setup above, three test cases were defined to prove deterministic latency. By default, the JESD204B IP Core detects a single SYSREF pulse. The SYSREF single-shot mode is enabled on the AD6676 for this deterministic measurement. Table 5: Deterministic Latency Test Cases Test Case Objective Description Passing Criteria DL.1 Check the FPGA SYSREF single detection. Check that the FPGA detects the first rising edge of SYSREF pulse. Read the status of sysref_ singledet (bit[2]) identifier in the syncn_sysref_ctrl register at address 0x54. The value of sysref_ singledet identifier should be zero. DL.2 Check the SYSREF capture. Check that the FPGA and ADC capture SYSREF correctly and restart the LMF counter for every reset and power cycle. Read the value of rbd_count (bit[10:3]) identifier in rx_ status0 register at address 0x80. If the SYSREF is captured correctly and the LMF counter restarts, for every reset and power cycle, the rbd_count value should only vary by two integers due to word alignment. DL.3 Check the latency from start of SYNC~ deassertion to the first user data output. Check that the latency is fixed for every FPGA and ADC reset and power cycle. Record the number of link clocks count from the start of SYNC~ deassertion to the first user data output, which is the assertion of jesd204_rx_link_valid signal. The deterministic latency measurement block has a counter to measure the link clock count. Consistent latency from the start of SYNC~ deassertion to the assertion of jesd204_rx_ link_valid signal. JESD204B IP Core and ADC Configurations The JESD204B IP Core parameters (L, M and F) in this hardware checkout are natively supported by the AD6676. The transceiver data rate, sampling clock frequency, and other JESD204B parameters comply with the AD6676 operating conditions. The hardware checkout testing implements the JESD204B IP Core with the following parameter configuration.

10 JESD204B IP Core and ADC Configurations Table 6: JESD204B IP Core Parameter Configuration AN-753 2015.11.02 Configuration Setting Setting LMF 222 124 HD 0 0 S 1 1 N 16 16 N 16 16 CS 0 0 CF 0 0 ADC Sampling (GHz) 2.94912 2.94912 FPGA Device (MHz) (3) 245.76 122.88 FPGA Management (MHz) 100 100 FPGA Frame (MHz) 122.88 122.88 FPGA Link (MHz) (4) 122.88 122.88 Lane Rate (Gbps) 4.9152 4.9152 Character Replacement Enabled Enabled Data Pattern (5) PRBS-9 Ramp PRBS-9 Ramp (3) The device clock is used to clock the transceiver. (4) The frame clock and link clock is derived from the device clock using an internal PLL. (5) The ramp pattern is used in deterministic latency measurement test cases DL.1, DL.2, and DL.3 only.

AN-753 2015.11.02 Test Results 11 Test Results Table 7: Results Definition This table lists the possible results and their definition. Result Definition PASS PASS with comments FAIL Warning Refer to comments The Device Under Test (DUT) was observed to exhibit conformant behavior. The DUT was observed to exhibit conformant behavior. However, an additional explanation of the situation is included, such as due to time limitations only a portion of the testing was performed. The DUT was observed to exhibit non-conformant behavior. The DUT was observed to exhibit behavior that is not recommended. From the observations, a valid pass or fail could not be determined. An additional explanation of the situation is included. The following table shows the results for test cases CGS.1, CGS.2, ILA.1, ILA.2, ILA.3, TL.1, and SCR.1 with different values of L, M, F, K, subclass, data rate, sampling clock, link clock, and SYSREF frequencies. Table 8: Results Test L M F Subclass SCR K Data Rate (Gbps) Sampling (GHz) Link (MHz) Result 1 2 2 2 1 0 16 4.9152 2.94912 122.88 PASS 2 2 2 2 1 1 16 4.9152 2.94912 122.88 PASS 3 2 2 2 1 0 32 4.9152 2.94912 122.88 PASS 4 2 2 2 1 1 32 4.9152 2.94912 122.88 PASS 5 1 2 4 1 0 16 4.9152 2.94912 122.88 PASS 6 1 2 4 1 1 16 4.9152 2.94912 122.88 PASS 7 1 2 4 1 0 32 4.9152 2.94912 122.88 PASS 8 1 2 4 1 1 32 4.9152 2.94912 122.88 PASS The following table shows the results for test cases DL.1, DL.2, DL.3 with different values of L, M, F, K, subclass, data rate, sampling clock, link clock, and SYSREF frequencies.

12 Test Results Table 9: Results for Deterministic Latency Test AN-753 2015.11.02 Test L M F Subclass K Data Rate (Gbps) Sampling (GHz) Link (MHz) Result DL.1 2 2 2 1 32 4.9152 2.94912 122.88 PASS DL.2 2 2 2 1 32 4.9152 2.94912 122.88 PASS DL.3 2 2 2 1 32 4.9152 2.94912 122.88 Pass with comments. DL.1 2 2 2 1 16 4.9152 2.94912 122.88 PASS DL.2 2 2 2 1 16 4.9152 2.94912 122.88 PASS Link clock observed = 115 with ADC LMFC offset register set to 0. DL.3 2 2 2 1 16 4.9152 2.94912 122.88 Pass with comments. DL.1 1 2 4 1 32 4.9152 2.94912 122.88 PASS DL.2 1 2 4 1 32 4.9152 2.94912 122.88 PASS Link clock observed = 67 with ADC LMFC offset register set to 0. DL.3 1 2 4 1 32 4.9152 2.94912 122.88 Pass with comments. DL.1 1 2 4 1 16 4.9152 2.94912 122.88 PASS DL.2 1 2 4 1 16 4.9152 2.94912 122.88 PASS Link clock observed = 195 with ADC LMFC offset register set to 0.

AN-753 2015.11.02 Test Result Comments 13 Test L M F Subclass K Data Rate (Gbps) Sampling (GHz) Link (MHz) Result DL.3 1 2 4 1 16 4.9152 2.94912 122.88 Pass with comments. Link clock observed = 99 with ADC LMFC offset register set to 5. The following figure shows the SignalTap II waveform of the clock count from the deassertion of SYNC~ to the assertion of the jesd204_rx_link_valid signal, the first output of the ramp test pattern (DL.3 test case). The clock count measures the first user data output latency. Figure 6: Deterministic Latency Measurement Ramp Test Pattern Diagram Test Result Comments In each test case, the RX JESD204B IP core successfully initialize from CGS phase, ILA phase, and until user data phase. No data integrity issue is observed by the PRBS checker. In deterministic measurement test case DL.3, the link clock count in the FPGA depends on the board layout and the LMFC offset value set in the ADC register. The link clock count can vary by only one link clock when the FPGA and ADC are reset or power cycled. The link clock variation in the deterministic latency measurement is caused by word alignment, where the control characters fall into the next cycle of data some time after realignment. This makes the duration of ILAS phase longer by one link clock some time after reset or power cycle.

14 AN 753 Document Revision History AN-753 2015.11.02 AN 753 Document Revision History Date Version Changes November 2015 2015.11.02 Initial release.