Using down to a Single Scan Channel to Meet your Test Goals (Part 2) Richard Illman Member of Technical Staff

Similar documents
Scan. This is a sample of the first 15 pages of the Scan chapter.

Using on-chip Test Pattern Compression for Full Scan SoC Designs

VirtualScan TM An Application Story

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

VLSI System Testing. BIST Motivation

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Lecture 23 Design for Testability (DFT): Full-Scan

Simulation Mismatches Can Foul Up Test-Pattern Verification

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Design for Testability Part II

Overview: Logic BIST

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Design for Testability

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Design of Fault Coverage Test Pattern Generator Using LFSR

At-speed Testing of SOC ICs

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

Testing Sequential Circuits

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Design for test methods to reduce test set size

SIC Vector Generation Using Test per Clock and Test per Scan

K.T. Tim Cheng 07_dft, v Testability

Running scan test on three pins: yes we can!

VLSI Chip Design Project TSEK06

At-speed testing made easy

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Implementation of Scan Insertion and Compression for 28nm design Technology

Clock Gate Test Points

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits


ECE 715 System on Chip Design and Test. Lecture 22

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

2.6 Reset Design Strategy

High-Frequency, At-Speed Scan Testing

A New Low Energy BIST Using A Statistical Code

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

This Chapter describes the concepts of scan based testing, issues in testing, need

Chapter 8 Design for Testability

Texas Instruments OMAP1510CGZG2 Dual-Core Processor Partial Circuit Analysis

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

UNIT IV CMOS TESTING. EC2354_Unit IV 1

An FPGA Implementation of Shift Register Using Pulsed Latches

Synchronization Overhead in SOC Compressed Test

TKK S ASIC-PIIRIEN SUUNNITTELU

Chapter 5 Synchronous Sequential Logic

Testing Digital Systems II

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Changing the Scan Enable during Shift

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

Slide Set 14. Design for Testability

Introduction. Serial In - Serial Out Shift Registers (SISO)

IT T35 Digital system desigm y - ii /s - iii

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Testing Digital Systems II

I. INTRODUCTION. S Ramkumar. D Punitha

FPGA Design. Part I - Hardware Components. Thomas Lenzi

Assembling Scan Structures 5

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

Laboratory Exercise 7

Controlling Peak Power During Scan Testing

6.S084 Tutorial Problems L05 Sequential Circuits

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Clock Domain Crossing. Presented by Abramov B. 1

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

A Low Power Delay Buffer Using Gated Driver Tree

1. Convert the decimal number to binary, octal, and hexadecimal.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Registers. Unit 12 Registers and Counters. Registers (D Flip-Flop based) Register Transfers (example not out of text) Accumulator Registers

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Logic Design Viva Question Bank Compiled By Channveer Patil

Launch-on-Shift-Capture Transition Tests

VLSI Test Technology and Reliability (ET4076)

Performance Driven Reliable Link Design for Network on Chips

ESE (ESE534): Computer Organization. Last Time. Today. Last Time. Align Data / Balance Paths. Retiming in the Large

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Bubble Razor An Architecture-Independent Approach to Timing-Error Detection and Correction

Multiband Noise Reduction Component for PurePath Studio Portable Audio Devices

Transcription:

Using down to a Single Scan Channel to Meet your Test Goals (Part 2) Richard Illman Member of Technical Staff

Motivation - Target Market Dialog Semiconductor creates energy-efficient, highly integrated, mixed signal circuits optimised for smartphones, tablets, ULTRABOOKS and other portable devices. Implications: Low pin count devices Large volumes (10s millions to 100s millions) Price competitive 2

Reducing Pin Count Example Audio Design Limited digital pins available: Clock input/output 3 pins I2C interface 2 pins I2S interface 4 pins Typically limited 3 or 4 pairs scan in/out pads 3

Dual use of audio analogue input pads Analogue audio input pads can be as digital inputs in scan mode. Issues: Typically must be level shifted in the chip to the digital supply levels. Load board must support both analogue and digital channels for the same pad. Mixes the analogue/digital design environments. 4

Requirement for high X-tolerance Large amounts of non-scan elements latches Ratio 2:1 of scan to non-scan elements Register files, filter blocks etc. Tolerance to problems in sub-chains in analogue blocks Real Time Counter, PLL 5

High X tolerance DFTMAX architecture Number of scan-in and scan-out pins Maximum internal chains 2 4 3 12 4 32 5 80 6 192 6

DFTMAX X-mask statistics in example audio design 3875 scan patterns 302 mask-only patterns 230 patterns without X-masking (~6%) 3342 patterns with X-masking Average 55 mask bits (chain length 181 bits) 7

High X tolerance DFTMAX with Serialiser 8

Serialiser Shift Clock Ratios External Shift Internal Shift 9

Compression Goldilocks type problem? DFTMAX too many pins DFTMAX Serialiser too slow, too much data DFTMAX Ultra baby bear solution - just right? 10

DFTMAX Ultra Architecture 11

DFTMAX Ultra shift External Shift Internal Shift 12

Change to the Design Compiler Synthesis Flow set_dft_configuration -scan_compression enable set_scan_compression_configuration \ -xtolerance high \ -chain_count 32 -inputs 4 -outputs 4 \ -min_power true report_scan_compression_configuration set_dft_configuration -streaming_compression enable set_streaming_compression_configuration \ -chain_count 120 -inputs 4 -outputs 4 report_streaming_compression_configuration 13

DFTMax Ultra overhead (Design has 6,300 scan flops and 3,500 non-scan latches) Architecture #si/so pins Internal Chains Latches added DFF added Seq. element overhead* Fault list overhead** DFTMAX 4 32 0 0 0 0.23% DFTMAX Ultra 4 40 38 84 1.3% 1.06% DFTMAX Ultra 4 80 62 136 2.2% 2.05% DFTMAX Ultra 4 120 78 168 2.7% 3.31% DFTMAX Ultra 4 160 86 188 3.0% 4.25% DFTMAX serializer 1 32 4 16 0.2% 0.29% DFTMAX Ultra 1 32 36 72 1.2% 0.90% 14

Test Coverage Single SI/SO results 100 95 Serializer (one pair scan-in/scan-out) Factor 2.8 Reduction 90 85 80 75 70 Ultra 32 DFTMAX Serializer 4-4-32 65 60 55 50 0 500000 1000000 1500000 2000000 2500000 3000000 3500000 Cycles With Minimum Detect ATPG the reduction is 3.4 15

Test Coverage 4 SI/SO test case 100 Standard ATPG 95 90 85 80 75 70 65 DFTMAX 4 4 32 Ultra 4 4 40 Ultra 4 4 80 Ultra 4 4 120 Ultra 4 4 160 60 55 50 0 100000 200000 300000 400000 500000 600000 700000 800000 Cycles 16

DFTMAX Ultra Budgeter 17

DFTMAX Ultra Budgeter `And thirdly, the Pirate Code DFTMAX Ultra Budgeter is more what you'd call "guidelines" than actual rules.` Captain Barbossa, Pirates of the Caribbean 18

Effective Chain Lengths 200 Effective Chain Length 180 160 140 120 Internal Chain Internal Plus Compression Logic 100 80 60 40 20 0 DFTMAX 4_4_32 Ultra 4_4_40 Ultra 4_4_80 Ultra 4_4_120 Ultra 4_4_160 19

Test Coverage 4 SI/SO test case 100 Standard ATPG 95 90 85 80 75 70 65 DFTMAX 4 4 32 Ultra 4 4 40 Ultra 4 4 80 Ultra 4 4 120 Ultra 4 4 160 60 55 50 0 100000 200000 300000 400000 500000 600000 700000 800000 Cycles 20

Information encoded in each pattern DFTMAX Mask data for the unload of the previous pattern Decompressor mode for the current pattern Data is encoded on a cycle basis through the whole pattern DFTMAX Ultra Mask data and compressor direction for the current pattern Decompressor mode and direction for the next pattern Data is encoded in separate dedicated bits High X-tolerance DFTMAX patterns which utilise X-masking cannot be individually manipulated, DFTMAX Ultra patterns can. 21

ATPG Minimum Detect set_atpg -basic_min_detects_per_pattern { d d } \ -fast_min_detects_per_pattern { d d } parameters minimum number of fault detects limit on consecutive rejected patterns set i 8192 while { $i > 2 } { set i [expr $i/2] ; set_atpg -fast_min_detect [list $i 20]; run_atpg fast -auto } 22

DFTMAX Ultra Pattern Reordering Command Post ATPG (Beta status) reorder_patterns coverage \ sort partial \ group_size <d> \ -number_of_patterns <d> reorder_patterns coverage sort full \ first_pattern <d> \ -last_pattern <d> 23

Pattern reordering fault simulation based Extracting fault detections for each pattern set limit [expr [sizeof_collection [get_patterns -all ]] -1 ] for { set i 0 } { $i < $limit } { incr i } { run_fault_sim first $i last $i } Very slow and inefficient run_fault_sim -detected_pattern_storage write_patterns filename -all Fault list contains the first pattern where the fault is detected Not available with multi-processor 24

DFTMAX Ultra Pattern Reordering Command User defined list reorder_patterns -remove { 1932 1972 2207 2229 2231 2249 2250 2266 2605 2696 2697 1923 1945 1951 1954 1957 44 71 39 53 67 6 30 43 35 12 23 19 26 29 27 24 16 } -insert { X X X X 1355 1355 1355 1355 1355 1355 1355 1355 1355 1355 1355 1355 X X X X 5 5 5 5 5 5 5 5 5 5 5 5 5 } The first list is the patterns to be removed. The corresponding item in the second list is the position it is to be placed. If the item in the second list is X the pattern is deleted. Over 50,000 patterns successfully reordered with a single command. Legacy patterns reordered with: write_patterns filename -reorder file 25

Test Coverage DFTMAX Ultra with minimum detect 20 rejected pattern limit 100 Minimum Detect ATPG 95 90 85 80 75 70 65 60 55 DFTMAX 4 4 32 Ultra 4 4 40 Ultra 4 4 40 Min Detect Ultra 4 4 80 Ultra 4 4 80 Min Detect Ultra 4 4 120 Ultra 4 4 120 Min Detect 50 0 100000 200000 300000 400000 500000 600000 700000 800000 Cycles 26

Test Coverage Reordering of minimum detect patterns 100 Reordering of min_detect patterns 95 90 85 80 75 70 DFTMAX 4 4 32 Ultra 4 4 40 Ultra 4 4 40 Reorder 1 Ultra 4 4 40 Reorder 2 65 60 55 50 0 100000 200000 300000 400000 500000 600000 700000 800000 900000 Cycles 27

Test Coverage Legacy design reordering Basic, single load sequential, multi load sequential 100 Pattern Reordering - after min_detect ATPG 95 90 85 80 ATPG Reorder 1 Reorder 2 Reorder 3 75 70 0 500 1000 1500 2000 2500 3000 3500 4000 Patterns 28

Coverage Regeneration of Patterns 100 Regeneration 95 90 85 80 75 70 65 Min Detect ATPG Reorder & Truncate Rerun ATPG Reorder 60 55 50 0 200 400 600 800 1000 1200 Patterns 29

Reordered Pattern Position Pattern Reordering 8000 Pattern Mobility During Reordering 7000 6000 5000 4000 3000 Basic Fast Sequential 2000 1000 0 0 1000 2000 3000 4000 5000 6000 7000 8000 Original Pattern Position 30

Key Advantages of DFTMAX Ultra Easy transition of the synthesis/atpg flow Dramatically improved results for the single scan in/out implementation. Higher compression achieved when pin counts are limited (or achieve the same compression with reduced pin access on the ATE). More compact pattern sets can be generated minimum detect and pattern reordering available even with High X tolerance 31

The power to be...