Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Similar documents
An FPGA Implementation of Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

ISSN Vol.08,Issue.24, December-2016, Pages:

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Low-Power And Area-Efficient Shift Register Using Digital Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Design of Shift Register Using Pulse Triggered Flip Flop

Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register Tanner

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

A DELAY EFFICIENT LOW POWER SHIFT REGISTER BY MEANS OF PULSED LATCHES J.VIJAYA SAGAR 1, T.VIJAYA NIRMALA 2

Design Low-Power and Area-Efficient Shift Register Using SSASPL Pulsed Latch

Low Power and Area Efficient 256-bit Shift Register based on Pulsed Latches

Optimization of Power and Area Efficient Shift Register Using Pulsed Latch

Design Of Pulsed Latch Based Shift Register Using Multiplexer With Reduced Power And Area

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

2. Conventional method 1 Shift register using PPCFF

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY

ISSN Vol.04, Issue.12, November-2016, Pages:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

ADVANCES in NATURAL and APPLIED SCIENCES

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

SHIFT REGISTER USING CNT FET BASED ON SENSE AMPLIFIER PULSED LATCH FOR LOW POWER APPLICATION

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P11 ISSN Online:

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

A Power Efficient Flip Flop by using 90nm Technology

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

PTL-AND CLOCK-PULSE CIRCUIT DRIVEN NOVEL SHIFT REGISTER ARCHITECTURE

ANALYZE AND DESIGN OF HIGH SPEED ENERGY EFFICIENT PULSED LATCHES BASED SHIFT REGISTER FOR ALL DIGITAL APPLICATION

LFSR Counter Implementation in CMOS VLSI

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

PERFORMANCE ANALYSIS OF AN EFFICIENT TIME-TO-THRESHOLD PWM ARCHIECTURE USING CMOS TECHNOLOGY

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

A Low Power Delay Buffer Using Gated Driver Tree

P.Akila 1. P a g e 60

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

A Low-Power CMOS Flip-Flop for High Performance Processors

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

Design of Low Power and Area Efficient 256 Bits Shift Register Using Pulsed Latches

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

Current Mode Double Edge Triggered Flip Flop with Enable

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

Load-Sensitive Flip-Flop Characterization

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

Minimization of Power for the Design of an Optimal Flip Flop

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Sequential Logic. References:

Power Optimization by Using Multi-Bit Flip-Flops

ECE321 Electronics I

IN DIGITAL transmission systems, there are always scramblers

Design of an Efficient Low Power Multi Modulus Prescaler

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

A Symmetric Differential Clock Generator for Bit-Serial Hardware

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Transcription:

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod Dept of ECE, Geetanjali college of engineering & technology. Abstract: As the Word length of the shift register increases, the area and power consumption also increases. This paper proposes a low power and area efficient shift register by register reusing. In this system the multiple non-overlap delayed pulsed clock signals is used which timing problem between pulsed latches. The small number of pulsed clock signals used by grouping the latches to several subshift registers. Moreover, the similar functional operation of Register Reusing has been explained by using the Twisted Ring counter..in digital circuits, a shift reg- ister is a cascade of flip flops, sharing the same clock, in which the output of each flip-flop is connected to the data input of the next flip-flop in the chain, resulting in a circuit that shifts by one position the bit array stored in it, shifting in the data present at its input and shifting out the last bit in the array, at each transition of the clock input.more generally, a shift register may be multidimensional, such that its data in and stage outputs are them- selves bit arrays: this is implemented simply by running several shift registers of the same bit-length in parallel. Keywords: Pulsed latches, pulsed Generator, Twisted Ring counter (TRC), Sub Shift Registers. 1. INTRODUCTION A shift register is the basic building block in a VLSI cir- cuit. Shift registers are commonly used in many applica tions, such as digital filters, communication receivers and image processing ICs Recently, as the size of the image data continues to increase due to the high demand for high quality image data, the word length of the shifter register increases to process large image data in image processing ICs. Fig.1. Schematic structure 2442 2017 D. Sandhya Rani http://www.irjaet.com

A 10-bit 208 channel output LCD column driver IC uses a 2K-bit shift register A 16- megapixel CMOS image sensor uses a 45K-bit shift regis- ter. As the word length of the shifter register increases, the area and power consumption of the shift register become important design considerations.the smallest flip-flop is suitable for the shift register to reduce the area and power consumption. Recently, pulsed latches have replaced flip- flops in many applications, because a pulsed latch is much smaller than a flip-flop [6] [9]. But the pulsed latch can- not be used in a shift register due to the timing problem between pulsed latches. This paper proposes a low-power and area-efficient shift register using pulsed latches. The shift register solves the timing problem using multiple non-overlap delayed pulsed clock signals instead of the conventional single pulsed clock signal. The shift register uses a small num- ber of the pulsed clock signals by grouping the latches to several sub shifter registers and using additional tempo- rary storage latches.shift registers can have both parallel and serial inputs and outputs. 2. RELATED WORK But real designs have a wide variation in clock and data activity across different TE instances. For example, low- power microprocessors make extensive use of clock gat- ing resulting in many TEs whose energy consumption is dominated by input data transitions rather than clock transitions. Other TEs, in contrast, have negligible data input activity but are clocked every cycle. Fig.2.Proposed Structure Shift registers, like counters, are a form of sequential logic. Sequential logic, unlike combinational logic is not only affected by the present inputs, but also, by the prior history. In other words, sequential logic remembers past events.pulsed latch structures employ an edge-triggered pulse generator to provide a short transparency window. Com- pared to master slave flip-flops, pulsed latches have the advantages of requiring only one latch stage per clock cycle and of allowing time-borrowing across cycle boundaries. The major disadvantages of pulsed latch structures are the increased susceptibility to timing hazards and the energy dissipation of the local clock pulse generators. Pulse generators can be shared among a few latch cells to reduce energy, if care is taken that the pulse shape does not degrade due to wire delay, signal coupling and noise. We measured designs both with individual pulse genera- tors and with pulse generators 2443 2017 D. Sandhya Rani http://www.irjaet.com

shared among four latch bits, in which case we divide the pulse generator energy among the four latch instances. 3. IMPLEMENTATION Another solution is to use multiple non-overlap delayed pulsed clock signals. The de- layed pulsed clock signals are generated when a pulsed clock signal goes through delay circuits. Each latch uses a pulsed clock signal which is delayed from the pulsed clock signal used in its next latch. Therefore, each latch updates the data after its next latch updates the data. As a result, each latch has a constant input during its clock pulse and no timing problem occurs between latches. However, this solution also requires many delay circuits. shows an example the proposed shift register. The pro- posed shift register is divided into M sub shifter registers to reduce the number of delayed pulsed clock signals. A 4-bit sub shifter register consists of five latches and it performs shift operations with five non-overlap delayed pulsed clock signals. The number of clock buffers is K. Fig.3.Clock Generator As K increases, the size of a clock buffer decreases in pro- portion to 1/K because the number of latches connected to a clock buffer (M=N/K) is proportional to1/k. There- fore, the total size of the clock buffers increases slightly with increasing and the effect of the clock buffers can be neglected for choosing K.The maximum number of K is limited to the target clock frequency. As shown in Fig. 2.6 the minimum clock cycle time (TCLK-MIN) is TCP+K*TDELAY+TCQ, where TCP is the delay from the rising edge of the main clock signal (CLK) to the rising edge of the first pulsed clock signal decreases in proportion to 1/K. Therefore, K must be selected under the maximum number which is determined by the maximum clock frequency of the target applications. 4. ANALYSIS The original SSASPL with 9 transistors is modified to the SSASPL by removing an inverter to generate the complementary data input (Db) from the data input (D). In the proposed shift register, the differential data inputs (D and Db) of the latch come from the differential data outputs (Q and Qb) of the previous latch. The SSASPL uses the smallest number of transis- tors (7 transistors) and it consumes the lowest clock power because it has a single transistor driven by the pulsed clock signal. The SSASPL was implemented and simulated with a 0.18µm CMOS process at VDD=1.8V. The sizes (W/L) of the three NMOS transistors (M1-M3) are 1µm/0.18µm. The sizes of the NMOS and PMOS transistors in the two inverters are all 0.5µm/0.18µm. The minimum clock pulse width of the SSASPL to update the data is 62 ps at a typical 2444 2017 D. Sandhya Rani http://www.irjaet.com

process simulation (TT) and 54 76 ps at all process corner simulations (FF-SS). A small number of the pulsed clock signals is used by grouping the latches to several sub shifter registers and using additional temporary storage latches. Fig.4. Performance Comparison A 256-bit shift register was fabricated using a 0.18µm CMOS process with VDD=1.8V. Its core area is 6600µm2. It consumes 1.2 mw at a 100 MHz clock frequency. The proposed shift register saves 37% area and 44% power compared to the conventional shift register with flip-flops. Fig.5.Output Wave CONCLUSION This paper proposed a low-power and area-efficient shift register using digital pulsed latches. The shift register reduces area and power consumption by replacing flip- flops with pulsed latches.the timing problem between pulsed latches is solved using multiple non-overlap de- layed pulsed clock signals instead of a single pulsed clock signal. REFERENCES [1] P. Reyes, P. Reviriego, J. A. Maestro, and O. Ruano, New protection techniques against SEUs for moving average filters in a radiation environment, IEEE Trans. Nucl. Sci., vol. 54, no. 4, pp. 957 964, Aug. 2007. 2445 2017 D. Sandhya Rani http://www.irjaet.com

[2] M. Hatamian et al., Design considerations for giga- bit ethernet 1000 base-t twisted pair transceivers, Proc. IEEE Custom Integr. Circuits Conf., pp. 335 342, 1998. [3] H. Yamasaki and T. Shibata, A real-time image- feature-extraction andvector-generation vlsi employing arrayed-shift-register architecture, IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 2046 2053, Sep. 2007. [4] H.-S. Kim, J.-H. Yang, S.-H. Park, S.-T. Ryu, and G.-H. Cho, A 10-bitcolumn-driver IC with parasiticinsensitive iterative charge-sharing based capacitor-string interpola- tion for mobile active-matrix LCDs, IEEE J. Solid-State Circuits, vol. 49, no. 3, pp. 766 782, Mar. 2014. [5] S.-H. W. Chiang and S. Kleinfelder, Scaling and de- sign of a 16-megapixelCMOS image sensor for electron microscopy, in Proc. IEEE Nucl. Sci. Symp. Conf. Re- cord (NSS/MIC), 2009, pp. 1249 1256. [6] S. Heo, R. Krashinsky, and K. Asanovic, Activity- sensitive flip-flopand latch selection for reduced energy, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 9, pp. 1060 1064, Sep. 2007. 2446 2017 D. Sandhya Rani http://www.irjaet.com