CSE140: Components and Design Techniques for Digital Systems. More D-Flip-Flops. Tajana Simunic Rosing. Sources: TSR, Katz, Boriello & Vahid

Similar documents
Introduction to Digital Logic Missouri S&T University CPE 2210 Flip-Flops

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

Sequential Circuits. Sequential Logic. Circuits with Feedback. Simplest Circuits with Feedback. Memory with Cross-coupled Gates.

Engr354: Digital Logic Circuits

! Two inverters form a static memory cell " Will hold value as long as it has power applied

Sequential Logic. Sequential Circuits. ! Timing Methodologies " Cascading flip-flops for proper operation " Clock skew

Collections of flip-flops with similar controls and logic

Unit 11. Latches and Flip-Flops

Combinational vs Sequential

LATCHES & FLIP-FLOP. Chapter 7

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

EMT 125 Digital Electronic Principles I CHAPTER 6 : FLIP-FLOP

Logic Design. Flip Flops, Registers and Counters

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Asynchronous (Ripple) Counters

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

Experiment 8 Introduction to Latches and Flip-Flops and registers

ALGORITHMS IN HW EECS150 ALGORITHMS IN HW. COMBINATIONAL vs. SEQUENTIAL. Sequential Circuits ALGORITHMS IN HW

Other Flip-Flops. Lecture 27 1

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

Digital Circuits ECS 371

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

CHAPTER1: Digital Logic Circuits

ELCT201: DIGITAL LOGIC DESIGN

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing

ELCT201: DIGITAL LOGIC DESIGN

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University

Sequentielle Schaltelemente

EET2411 DIGITAL ELECTRONICS

Chapter. Synchronous Sequential Circuits

Synchronous Sequential Logic

EEE2135 Digital Logic Design Chapter 6. Latches/Flip-Flops and Registers/Counters 서강대학교 전자공학과

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

CHAPTER 4: Logic Circuits

RS flip-flop using NOR gate

RS flip-flop using NOR gate

CHAPTER 4: Logic Circuits

Lecture 8: Sequential Logic

Flip-Flops and Sequential Circuit Design

6. Sequential Logic Flip-Flops

Counter dan Register

Digital Circuit And Logic Design I. Lecture 8

Digital Circuit And Logic Design I

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

Registers and Counters

CHAPTER 1 LATCHES & FLIP-FLOPS

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Chapter 11 Latches and Flip-Flops

Chapter 4. Logic Design

MC9211 Computer Organization

Sequential Circuits: Latches & Flip-Flops

CS 261 Fall Mike Lam, Professor. Sequential Circuits

CHAPTER 6 COUNTERS & REGISTERS

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

Latches, Flip-Flops, and Registers. Dr. Ouiem Bchir

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

Digital Fundamentals: A Systems Approach

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

Sequential Design Basics

D Latch (Transparent Latch)

CHAPTER 11 LATCHES AND FLIP-FLOPS

Digital Integrated Circuits EECS 312

Digital Fundamentals: A Systems Approach

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

Chapter 5: Synchronous Sequential Logic

UNIVERSITI TEKNOLOGI MALAYSIA

Introduction to Microprocessor & Digital Logic

Multiplexor (aka MUX) An example, yet VERY useful circuit!

Sequential Logic Circuits

Flip-flop and Registers

Lecture 7: Sequential Networks

ECE 545 Digital System Design with VHDL Lecture 2. Digital Logic Refresher Part B Sequential Logic Building Blocks

Digital Fundamentals

Rangkaian Sekuensial. Flip-flop

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

Introduction to Sequential Circuits

Review of Flip-Flop. Divya Aggarwal. Student, Department of Physics and Astro-Physics, University of Delhi, New Delhi. their state.

INTRODUCTION TO SEQUENTIAL CIRCUITS

Digital Design, Kyung Hee Univ. Chapter 5. Synchronous Sequential Logic

Counters

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

Advanced Digital Logic Design EECS 303

Register Transfer Level (RTL) Design Cont.

5: Sequential Logic Latches & Flip-flops

ECE 341. Lecture # 2

CS61C : Machine Structures

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts

Switching Circuits & Logic Design

Universal Asynchronous Receiver- Transmitter (UART)

Transcription:

CSE140: Components and esign Techniques for igital Systems More -Flip-Flops Tajana Simunic Rosing

Where we are now. What we covered last time: SRAM cell, SR latch, latch, -FF What we ll do next: -FF review, registers, pattern recognizers Upcoming deadlines: ZyBook today: 4.3-6 HW#4 assigned, due next Tuesday uiz #4 on today! Midterm #2 Coming up in 14 days generally harder than Midterm#1 Cumulative

Bit Storage Overview SR latch S (set) R (reset) Level-sensitive SR latch S S1 C R R1 C S R latch Clk latch mm Cm master flip-flop latch s s Cs s servant S=1 sets to 1, R=1 resets to 0. Problem: SR=11 yield undefined. S and R only have effect when C=1. We can design outside circuit so SR=11 never happens when C=1. Problem: avoiding SR=11 can be a burden. SR can t be 11 if is stable before and while C=1, and will be 11 for only a brief glitch even if changes while C=1. Problem: C=1 too long propagates new values through too many latches: too short may not enable a store. Only loads value present at rising clock edge, so values can t propagate to other flipflops during same clock cycle. Tradeoff: uses more gates internally than latch, and requires more external gates than SR but gate count is less of an issue today. 3

Comparison of latches and flip-flops positive edge-triggered flip-flop FF G level-sensitive latch latch Sources: TSR, Katz, Boriello & Vahid 4

Flip-Flops Assume that the data in all -FFs is initially 0. Input Y=1. When Clk goes from 0->1, the stored values in -FFs are: Y 1 1 2 2 3 3 4 4 Two latches inside each flip-flop Clk Clk_A Clk_B A. 1=1, 2=0, 3=0, 4=0 for both clock A & B B. 1=1, 2=1, 3=1, 4=1 for clock A 1=1, 2=0, 3=0, 4=0 for clock B C. 1=1, 2=1, 3=1, 4=1 for both clocks. More information is needed to determine the answer E. None of the above Sources: TSR, Katz, Boriello & Vahid 5

Rising vs. Falling Edge Flip-Flop The triangle means clock input, edge triggered Symbol for rising-edge triggered flip-flop rising edges Clk Symbol for falling-edge triggered flip-flop Clk falling edges Internal design: Just invert servant clock rather than master 6

Enabled -FFs Inputs:,, EN The enable input (EN) controls when new data () is stored Function EN = 1: passes through to on the clock edge EN = 0: the flip-flop retains its previous state EN Internal Circuit Symbol 0 1 EN

Additional -FF Features Reset (set state to 0) R synchronous: new = R' old (when next clock edge arrives) asynchronous: doesn't wait for clock Preset or set (set state to 1) S (or sometimes P) synchronous: new = old + S (when next clock edge arrives) asynchronous: doesn't wait for clock Both reset and preset new = R' old + S (set-dominant) new = R' old + R'S (reset-dominant) Selective input capability (input enable or load) L or EN multiplexor at input: new = L' + L old load may or may not override reset/set (usually R/S have priority) Complementary outputs and ' 8

Registers and Counters 9 Sources: TSR, Katz, Boriello & Vahid

Building blocks with FFs: Basic Register OUT1 OUT2 OUT3 OUT4 IN1 IN2 IN3 IN4 I3 I2 I1 I0 reg(4) 3 2 1 0 10

Shift register Holds & shifts samples of input OUT1 OUT2 OUT3 OUT4 IN 11

Pattern Recognizer Combinational function of input samples OUT OUT1 OUT2 OUT3 OUT4 IN 12

esign of a Universal Shift Register left_in left_out clear s0 s1 output input right_out right_in clock clear s0 s1 new value 1 0 0 0 0 output 0 0 1 output value of FF to left (shift right) 0 1 0 output value of FF to right (shift left) 0 1 1 input Nth cell to N-1th cell to N+1th cell CLEAR 0 1 2 3 s0 and s1 control mux [N-1] (left) Input[N] [N+1] (right)

Counters Sequences through a fixed set of patterns OUT1 OUT2 OUT3 OUT4 IN 14

General Counters efault operation: count up A- counter output A- parallel load data LOA enables data load RCO ripple carry out CLR clears data EN counter enable "1" "0" "1" "1" "0" "0" EN RCO C C B B A A LOA CLR "1" "0" "0" "0" "0" EN RCO C C B B A A LOA CLR 15

Finite State Machines 16 Sources: TSR, Katz, Boriello & Vahid

Circuit Specifications Combinational Logic Truth tables, Boolean equations, logic diagrams (no feedback) Sequential Networks: State iagram (Memory) State and Excitation Tables Characteristic Expression Logic iagram (FFs and feedback loops) Y A B C Combinational X RTL: Register-Transfer Level escription 17

Finite State Machines: Two Bit Counter Example Symbol/ Circuit Current state Next State 2 bit Counter S 0 S 1 S 1 S 2 S 2 S 3 S 3 S 0 S 0 1 (t) 0 (t) 1 (t+1) 0 (t+1) S 3 S 1 S 2 State iagram State Table Sources: TSR, Katz, Boriello & Vahid

Circuit with 2 flip flops Circuit with one flip flop Sources: TSR, Katz, Boriello & Vahid Which is the most likely circuit realization of the two bit counter? State Table 1 (t) 0 (t) 1 (t+1) 0 (t+1) 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0 A. Combinational circuit Circuit with no flip flops B. C. 0 (t) Combinational circuit 1 (t) 0 (t) 1 (t) Combinational circuit

Two Bit Counter Circuit State Table 1 (t) 0 (t) 1 (t+1) 0 (t+1) 0 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0 0 (t) 1 (t) We store the current state using -flip flops so that: Inputs to the combinational circuit don t change while the next output is computed The transition to the next state only occurs at the rising edge of the clock 0 (t) = 0 (t) 1 (t) = 0 (t) 1 (t) + 0 (t) 1 (t) Implementation of 2-bit counter Sources: TSR, Katz, Boriello & Vahid