Based on slides/material by. Topic Testing. Logic Verification. Testing

Similar documents
Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Unit V Design for Testability

UNIT IV CMOS TESTING. EC2354_Unit IV 1

Digital Integrated Circuits Lecture 19: Design for Testability

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Scan. This is a sample of the first 15 pages of the Scan chapter.

Slide Set 14. Design for Testability

Lecture 23 Design for Testability (DFT): Full-Scan

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Lecture 18 Design For Test (DFT)

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

Design for Testability

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Testing Sequential Circuits

Testing Digital Systems II

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Simulation Mismatches Can Foul Up Test-Pattern Verification

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Overview: Logic BIST

VLSI System Testing. BIST Motivation

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

This Chapter describes the concepts of scan based testing, issues in testing, need

Design of Fault Coverage Test Pattern Generator Using LFSR

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

At-speed Testing of SOC ICs

K.T. Tim Cheng 07_dft, v Testability

Chapter 8 Design for Testability

VLSI Test Technology and Reliability (ET4076)

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

New Directions in Manufacturing Test

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

TKK S ASIC-PIIRIEN SUUNNITTELU

Testing Digital Systems II

Design for Testability Part II

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

CSE 352 Laboratory Assignment 3

Project 6: Latches and flip-flops

ECE321 Electronics I

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

Topic 8. Sequential Circuits 1

ECE 715 System on Chip Design and Test. Lecture 22

EE 367 Lab Part 1: Sequential Logic

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

7 Nov 2017 Testing and programming PCBA s

Diagnosis of Resistive open Fault using Scan Based Techniques

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

DESIGN FOR TESTABILITY

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

2.6 Reset Design Strategy

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation


CSE115: Digital Design Lecture 23: Latches & Flip-Flops

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Chapter 5 Flip-Flops and Related Devices

Department of Information Technology and Electrical Engineering. VLSI III: Test and Fabrication of VLSI Circuits L.

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

Testing Digital Systems II

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

Logic Design ( Part 3) Sequential Logic- Finite State Machines (Chapter 3)

At-speed testing made easy

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Tools to Debug Dead Boards

CMOS Latches and Flip-Flops

Synchronous Digital Logic Systems. Review of Digital Logic. Philosophy. Combinational Logic. A Full Adder. Combinational Logic

the Boundary Scan perspective

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Boundary Scan (JTAG ) 2

ISSN (c) MIT Publications

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

CS8803: Advanced Digital Design for Embedded Hardware

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Clock - key to synchronous systems. Topic 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Clock - key to synchronous systems. Lecture 7. Clocking Strategies in VLSI Systems. Latch vs Flip-Flop. Clock for timing synchronization

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Lecture 10: Sequential Circuits

Avoiding False Pass or False Fail

Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations

Sequential Design Basics

Digital Fundamentals

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Transcription:

Based on slides/material by Topic 4 K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html igital Integrated Circuits: A esign Perspective, Prentice Hall ing Peter Y. K. Cheung epartment of Electrical & Electronic Engineering Imperial College London. Harris http://www.cmosvlsi.com/coursematerials.html Weste and Harris, CMOS VL esign: A Circuits and Systems Perspective, Addison Wesley Recommended Reading: J. Rabaey et. al. igital Integrated Circuits: A esign Perspective : esign Methodology Insert H URL: http://www.ee.ic.ac.uk/pcheung Weste and Harris, CMOS VL esign: A Circuits and Systems Perspective : Chapter 9 Topic 4 - Topic 4-2 ing Verification ing is one of the most expensive parts of chips verification accounts for > 5% of design effort for many chips ebug time after fabrication has enormous opportunity cost Shipping defective parts can sink a company Example: Intel FIV bug error not caught until > M units shipped Recall cost $45M (!!!) oes the chip simulate correctly? Usually done at HL level Verification engineers write test bench for HL Can t test all cases Look for corner cases Try to break logic design Ex: 32-bit adder all combinations of corner cases as inputs:,, 2, 2 3 -, -, -2 3, a few random numbers Good tests require ingenuity Topic 4-3 Topic 4-4

Silicon ebug Shmoo Plots the first chips back from fabrication If you are lucky, they work the first time If not bugs vs. electrical failures Most chip failures are logic bugs from inadequate simulation Some are electrical failures Crosstalk ynamic nodes: leakage, charge sharing Ratio failures A few are tool or methodology failures (e.g. RC) How to diagnose failures? Hard to access chips Picoprobes Electron beam Laser voltage probing Built-in self-test Shmoo plots Vary voltage, frequency Look for cause of electrical failures Fix the bugs and fabricate a corrected chip Topic 4-5 Topic 4-6 Manufacturing Validation and of Manufactured Circuits A speck of dust on a wafer is sufficient to kill chip Yield of any chip is < % Must test chips after manufacturing before delivery to customers to only ship good parts Manufacturing testers are very expensive Minimize time on tester Careful selection of test vectors Goals of esign-for- (FT) Make testing of manufactured part swift and comprehensive FT Mantra Provide controllability and observability Components of FT strategy Provide circuitry to enable test Provide test patterns that guarantee reasonable coverage Topic 4-7 Topic 4-8

Classification ability iagnostic test used in chip/board debugging defect localization go/no go or production test Used in chip production N inputs Module K outputs N inputs Module K outputs Parametric test x e [v,i] versus x e [,] check parameters such as NM, Vt, tp, T M state regs (a) function (b) Sequential engine 2 N patterns 2 N+M patterns Exhaustive test is impossible or unpractical Topic 4-9 Topic 4 - Controllability/Observability esign the chip to increase observability and controllability Circuits: controllable and observable - relatively easy to determine test patterns If each register could be observed and controlled, test problem reduces to testing combinational logic between registers. Sequential Circuits: State! Turn into combinational circuits or use self-test Better yet, logic blocks could enter test mode where they generate test patterns and report the results automatically. Memory: requires complex patterns Use self-test Topic 4 - Topic 4-2

Generating and Validating -Vectors Fault Models Automatic test-pattern generation (ATPG) for given fault, determine excitation vector (called test vector) that will propagate error to primary (observable) output majority of available tools: combinational networks only sequential ATPG available from academic research Fault simulation determines test coverage of proposed test-vector set simulates correct network in parallel with faulty networks Both require adequate models of faults in CMOS integrated circuits Most Popular - Stuck - at model sa (output) sa (input) x α γ x2 β Covers almost all (other) occurring faults, such as opens and shorts. Z x3 α, γ : x sa β : x sa or x2 sa γ : Z sa Topic 4-3 Topic 4-4 Problem with stuck-at model: CMOS open fault Problem with stuck-at model: CMOS short fault x x2 x x2 Z C A B Causes short circuit between Vdd and GN for A=C=, B= Sequential effect Needs two vectors to ensure detection! A B C Possible approach: Supply Current Measurement (I) but: not applicable for gigascale integration Other options: use stuck-open or stuck-short models This requires fault-simulation and analysis at the switch or transistor level - Very expensive! Topic 4-5 Topic 4-6

Pattern Generation Path Sensitization Manufacturing test ideally would check every node in the circuit to prove it is not stuck. Apply the smallest sequence of test vectors necessary to prove each node is not stuck. Good observability and controllability reduces number of test vectors required for manufacturing test. Reduces the cost of testing Motivates design-for-test Goals: etermine input pattern that makes a fault controllable (triggers the fault, and makes its impact visible at the output nodes) Fault enabling Fault propagation sa Out Techniques Used: -algorithm, Podem Topic 4-7 Topic 4-8 Example Approaches SA SA A 3 {} {} A 2 {} {} A {} {} A {} {} n {} {} n2 {} {} n3 {} {} Y {} {} A 3 A 2 A A n2 n n3 Y Ad-hoc testing Scan-based Self- Problem is getting harder increasing complexity and heterogeneous combination of modules in systemon-a-chip. Advanced packaging and assembly techniques extend problem to the board level Minimum set: {,,,,, } Topic 4-9 Topic 4-2

Ad-hoc Scan Memory Memory data address test data select Processor Processor I/O bus I/O bus Inserting multiplexer improves testability address Convert each flip-flop to a scan register Only costs one extra multiplexer Normal mode: flip-flops behave as usual Scan mode: flip-flops behave as shift register Contents of flops can be scanned out and new values scanned in inputs scan-in Flop Flop Flop Flop Cloud Flop Flop Flop Flop SCAN Cloud CLK Flop Flop Flop Flop Flop outputs scan out Topic 4-2 Topic 4-22 Scan-based Scannable Flip-flops SCAN ScanIn ScanOut (a) SCAN CLK X In Register A Register B Out (b) d SCAN d d X s (c) s s Topic 4-23 Topic 4-24

Polarity-Hold SRL (Shift-Register Latch) Scan-based Operation System ata System Clock Scan ata Shift A Clock C A L ScanIn In Latch Out In Latch Out In 2 Latch Out 2 In 3 Latch Out 3 ScanOut SO Shift B Clock B L2 SO φ Introduced at IBM and set as company policy φ 2 N cycles scan-in cycle evaluation N cycles scan-out Topic 4-25 Topic 4-26 Scan-Path ing Boundary Scan A REG[] REG[2] + REG[4] B REG[] REG[3] SCANIN ing boards is also difficult Need to verify solder joints are good rive a pin to, then to Check that all connected pins get the values Through-hold boards used bed of nails SMT and BGA boards cannot easily contact pins Build capability of observing and controlling pins into each chip to make board test easier COMPIN COMP REG[5] SCANOUT OUT Partial-Scan can be more effective for pipelined datapaths Topic 4-27 Topic 4-28

Boundary Scan (JTAG) Boundary Scan Example Printed-circuit board Packaged IC Package Interconnect Scan-in Scan-out si so scan path normal interconnect CHIP B CHIP C Serial ata Out CHIP A CHIP Bonding Pad Board testing becomes as problematic as chip testing IO pad and Boundary Scan Cell Serial ata In Topic 4-29 Topic 4-3 Boundary Scan Interface Built-in Self-test Boundary scan is accessed through five pins TCK: test clock TMS: test mode select TI: test data in TO: test data out TRST*: test reset (optional) Built-in self-test lets blocks test themselves Generate pseudo-random inputs to comb. logic Combine outputs into a syndrome With high probability, block is fault-free if it produces the expected syndrome Chips with internal scan chains can access the chains through boundary scan for unified test strategy. Topic 4-3 Topic 4-32

Self-test PRSG (Sub)-Circuit Linear Feedback Shift Register Shift register with input taken from XOR of state Pseudo-Random Sequence Generator Stimulus Generator Under Response Analyzer Step Controller 2 3 Rapidly becoming more important with increasing chip-complexity and larger modules 4 5 6 7 (repeats) Topic 4-33 Topic 4-34 Linear-Feedback Shift Register (LFSR) Signature Analysis R R R In Counter S S S 2 R Counts transitions on single-bit stream Compression in time Pseudo-Random Pattern Generator Topic 4-35 Topic 4-36

BILBO BILBO Application B 2 B ScanIn ScanOut ScanIn mux R R R ScanOut S S S2 In BILBO-A BILBO-B Out B B Operation mode Normal Scan Pattern generation or Signature analysis Reset Topic 4-37 Topic 4-38 BILBO Memory Self- Built-in Block Observer Combine scan with PRSG & signature analysis C[] C[] [] [] [2] [] [] [2] / SO FSM data-in address & Memory Under data-out Signature Analysis R/W control PRSG Cloud Signature Analyzer MOE C[] C[] Scan Reset Normal Patterns: Writing/Reading s, s, Walking s, s Galloping s, s Topic 4-39 Topic 4-4

Low Cost ing osterics If you don t have a multimillion dollar tester: Build a breadboard with LE s and switches Hook up a logic analyzer and pattern generator Or use a low-cost functional chip tester Ex: osterics functional chip tester esigned by clinic teams and avid iaz at HMC Reads your IRM test vectors, applies them to your chip, and reports assertion failures Topic 4-4 Topic 4-42 Summary Think about testing from the beginning Simulate as you go Plan for test after fabrication If you don t test it, it won t work! (Guaranteed) Topic 4-43