A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

Similar documents
Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

DESIGN OF LOW POWER TEST PATTERN GENERATOR

VLSI System Testing. BIST Motivation

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

DESIGN AND TESTING OF HIGH SPEED MULTIPLIERS BY USING LINER FEEDBACK SHIFT REGISTER

Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST )

Power Optimization of Linear Feedback Shift Register Using Clock Gating

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

SIC Vector Generation Using Test per Clock and Test per Scan

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

ECE 715 System on Chip Design and Test. Lecture 22

I. INTRODUCTION. S Ramkumar. D Punitha

VLSI Test Technology and Reliability (ET4076)

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Controlling Peak Power During Scan Testing

Weighted Random and Transition Density Patterns For Scan-BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

Power Problems in VLSI Circuit Testing

Diagnosis of Resistive open Fault using Scan Based Techniques

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Overview: Logic BIST

LFSR Counter Implementation in CMOS VLSI

Design of BIST with Low Power Test Pattern Generator

Design of BIST Enabled UART with MISR

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

Doctor of Philosophy

Implementation of Low Power Test Pattern Generator Using LFSR

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

ISSN:

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Lecture 23 Design for Testability (DFT): Full-Scan

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

LOW TRANSITION TEST PATTERN GENERATOR ARCHITECTURE FOR MIXED MODE BUILT-IN-SELF-TEST (BIST)

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

Efficient Test Pattern Generation Scheme with modified seed circuit.

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Test Pattern Generation Using BIST Schemes

Fault Detection And Correction Using MLD For Memory Applications

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

Using on-chip Test Pattern Compression for Full Scan SoC Designs

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

Design for Testability

A New Low Energy BIST Using A Statistical Code

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Implementation of UART with BIST Technique

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Survey of low power testing of VLSI circuits

Lecture 18 Design For Test (DFT)

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

Scan. This is a sample of the first 15 pages of the Scan chapter.

LOW POWER TEST PATTERN GENERATION USING TEST-PER-SCAN TECHNIQUE FOR BIST IMPLEMENTATION

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Changing the Scan Enable during Shift

A Modified Clock Scheme for a Low Power BIST Test Pattern Generator

A Modified Design of Test Pattern Generator for Built-In-Self- Test Applications

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

Testing Digital Systems II

Transactions Brief. Circular BIST With State Skipping

Implementation of High Speed Adder using DLATCH

A Literature Review and Over View of Built in Self Testing in VLSI

Design of Low Power Test Pattern Generator using Low Transition LFSR for high Fault Coverage Analysis

Design of Low Power Efficient Viterbi Decoder

A Power Efficient Flip Flop by using 90nm Technology

Testing of Cryptographic Hardware

Transcription:

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology & Engineering, Tadepalligudam, A.P, subbukrishna409@gmail.com Assistant Professor, Dept. of ECE Sasi institute of Technology & Engineering, A.P, chowdary.lee@gmail.com Head of the Dept ECE Sasi institute of Technology & Engineering, A.P, hodece@sasi.ac.in ABATRACT This paper proposes a low power Linear Feedback Shift Register (LFSR) for Test Pattern Generation (TPG) technique with reducing power dissipation during testing. The correlations between the consecutive patterns are higher during normal mode than during testing. The proposed approach uses the concept of reducing the transitions in the test pattern generated by conventional LFSR. The goal of having intermediate patterns is to reduce the transitional activities of primary inputs which eventually reduce the switching activities inside the circuit under test, and hence, power consumption.the testing power is reduced by 46%with respect to the power consumed during the testing carried by conventional LFSR. Built-In Self-Test (BIST) techniques constitute an attractive and practical solution to the problem of testing VLSI circuits and systems. Input vector monitoring concurrent BIST schemes can circumvent problems appearing separately in on-line and in of-line BIST techniques. 1. INTRODUCTION The main challenging areas in VLSI are performance, cost, testing, area, reliability and power. The demand for portable computing devices and communications system are increasing rapidly. These applications require low power dissipation for VLSI circuits. The power dissipation during test mode is 200% more than in normal mode [1]. Hence it is important aspect to optimize power during testing. Power optimization is one of the main challenges. There are various factors that affect the cost of chip like packaging, application, testing etc. In VLSI, according to thumb rule 5000 of the total integrated circuits cost is due to testing. During testing two key challenges are: Cost of testing that can't be scaled. Engineering effort for generating test vectors Increases as complexity of circuit increased Based on 1997 SIA data, the upper curve shows the fabrication cost of transistor and lower curve shows the testing cost of transistor. Figure 1 shows that the fabrication cost transistor decreases over the decades according to Moore's law but the testing cost as constant. Figure 1: Fabrication cost versus testing cost There are main two sources of power dissipation in digital circuits; these are static and dynamic power dissipation. Static power dissipation is mainly due to leakage current and its contribution to total power dissipation is very small [2]. Dynamic power dissipation is due to switching i.e. the power consumed due to short circuit current flow and charging of load capacitances is given by equation: P=0.5V DD 2 E (SW) CL F clk Where V dd is supply voltage, E (sw) is the average number of output transitions per 1/fclk, fclk is the clock frequency and CL is the physical capacitance at the output of the gate. Dynamic power dissipation contributed to total power dissipation. From the above equation the dynamic power depends on three parameters: Supply voltage, Clock frequency, switching activity. To reduce the dynamic power dissipation by using first two parameter only at the expense of circuit performance. But power reduction using the switching activity doesn't degrade the performance of the circuit. There are several reasons for this power increased in test mode. To test large circuit, circuits are partitioned to save the test time but this parallel 561

testing result in excessive energy and power dissipation. Due to the lack of at-speed equipment availability, delay is introduced in the circuit during testing. This cause power dissipation. In this successive functional input vectors applied to a given circuit in normal mode have a significant correlation, while the correlation between consecutive test patterns can be very low. This can cause large switching activity in the circuit during test then that during its normal operation. During testing large power is dissipated than in the normal mode. This is due to lack of correlation between the successive test patterns generated by ATPG or LFSR and this large power dissipation cases following effects: The increased power may be responsible for cost, reliability, performance verification, autonomy and technology related problems. Low power dissipation during test application is thus becoming an equally important figure of merit in today s VLSI circuits design and is expected to become one of the major objectives in the near future. High power and ground noise caused by high switching during testing are serious problem where the supply connects are poor. Thus excessive noise can change the logic state of the circuit lines leading good dies to fail the test and hence loss of yield. As the circuit is designed in the deep sub micron technology, this uses small supply voltages and hence this reduces the use of special cooling equipment to remove the excessive heat during test. 2. PRIORWORK There has been various low power approaches proposed to solve the problem of power dissipation during the testing. Some of the earliest work that has been proposed for optimizing the power during testing are discussed in this section of the paper. One method is to use Random Single Input Change (RSIC) test generation, which is used to generate low power test patter. In this method, power consumption is reduced but at the additional cost is between 1900 and 130o. Another technique was proposed in [5]. This approach proposed a low transition LFSR for BIST applications. This reduces the average and peak power of circuit during testing. In [6] approach, a fault model and ATPG algorithm is chosen first and then test pattern are generated to obtain the desired fault coverage. There are various advantages of test pattern generation at a higher level than the gate level. While F. Corno et al has proposed for the low power test pattern generation for sequential circuit [7]. In this paper, redundancy is introduced during testing and this reduces the power consumption without affecting the fault coverage. In [8], it is shown that different LFSR architecture affects the power consumed and the hardware used. Jinkyu Lee et al developed a LFSR reseeding scheme. In this approach, there are two goals, first is to reduce the number of transition in scan chain. Second is to reduce the number of specified bits generated by LFSR reseeding. 3. CONCURRENTBIST ARCHITECTURE It is very important to choose the proper LFSR architecture for achieving the appropriate fault coverage. Built-In Self Test (BIST) [1] constitutes an attractive and practical solution to the problem of testing VLSI devices and systems.. Low power testing is done at at-speed. But in other testing techniques, circuits are added to lower the frequency of circuit during test. For complex circuits, hierarchical approach is used. The advantage of hierarchical approach is that every block is tested separately. Test input is given to each block and output is observed and verified. DFT (Design For Testability) is the action of placing features in a chip design process to enhance the ability to generate vectors, achieve a measured quality level or reduce cost of testing. The conventional DFT approaches use scan and BIST. In this paper a modified low power LFSR are used in which the number of transitions of test pattern are reduced testing. The remainder paper is organized as follows: Section 2 describes the previous work while section 3 presents the proposed work. Section 4 describes the simulation results and conclusions. Figure 2 BIST Architecture 562

Advantages of BIST include the capability of performing at-speed testing, very high fault coverage, elimination of test generation effort and less reliance on expensive external testing equipment for applying and monitoring test patterns. Therefore BIST drives down the cost of testing. BIST techniques are classified into of-line and on-line. Circuit under Test (CUT): It is the portion of the circuit tested in BIST mode. It can be sequential, combinational or a memory. Their Primary Input (PI) and Primary output (P0) delimit it Test Pattern Generator (TPG): It generates the test patterns for the CUT. It is a dedicated circuit or a microprocessor. The patterns may be generated in pseudorandom or deterministically. Multiple input signatures register (MISR): it is designed for signature analysis, which is a technique for data compression. MISR efficiently map different input streams to different signatures with every small probability of alias. MISR are frequently implemented in built-in-self-test (BIST) designs, in which output responses are compressed by MISR. Test Response Analysis (TRA): It analyses the value sequence on PO and compares it with the expected output the output that influence the input are called tap. A LFSR is represented by as polynomial, which is also known as characteristic polynomial used to determine the feedback taps, which determine the length of random pattern generation. The output of LFSR is combination of I's and O's. A common clock signal is applied to all flip-flops, which enable the propagation of logical values from input to output of flip-flops. Increasing the correlation between bits reduces the power dissipation. The output of LFSR is combination of I's and O's. A common clock signal is applied to all flip-flops, which enable the propagation of logical values from input to output of flip-flops. Increasing the correlation between bits reduces the power dissipation. This can be achieved by adding more number of test vectors, which decreases the switching activity [4]. BIST Controller Unit (BCU): It controls the test execution; it manages the TPG, TRA and reconfigures the CUT and the multiplexer. It is activated by the Normal/Test signal and generates a Go/No go. 4. ALGORITHM FOR LOW POWER LFSR As discussed in the previous section LFSR is used to generate test patterns for BIST. In this, test patterns are generated externally by LFSR, which is inexpensive and high speed. LFSR is a circuit consists of flip-flops in series. LFSR is a shift register where output bit is an XOR function of some input bits. The initial value of LFSR is called seed value. LFSR's seed value has a significant effect on energy consumption. [3]. Figure 3: LFSR in which input of first flip-flop is xored withlast flip-flop. Figure 4: Proposed algorithm for low power LFSR LFSR is characterized by the polynomial by its characteristics polynomial and inverse of characteristics polynomial is generated polynomial. In this approach the 3 intermediate test vectors are generated between every two successive vectors (say TI, T2). The total number of signal transition occurs between these 5 vectors are equivalent to the number of transition occurs between the 2 vectors. Hence the power consumption is reduced. Additional circuit is used for few logic gates in order to generate 3 intermediate vectors. The 3 intermediate vectors (Ta, Tb, Tc) are achieved by modifying conventional flip-flops outputs and low power outputs. The first level of hierarchy from top to down includes logic circuit design for propagation 563

either the present or next state of flip-flop to second level of hierarchy. Second level of hierarchy is implementing Multiplexed (MUX) function i.e. selecting two states to propagate to output as shown in flow. Second level of hierarchy is implementing Multiplexed (MUX) function i.e. selecting two states to propagate to output as shown in flow: interrupt controller. In this c432 is used as CUT; the generated code is synthesized in Xilinx Web Pack 9.1 for Spartan 2e device. The hardware summary is obtained for each method implementation log file of Xilinx 9.1 project navigator 5. RESULTA AND CONCLUSION The results obtained from the Xilinx 9.1 implementation with the device xc3s200-4pq208 in which, we have generated VCD file after the post simulation. X power is used to calculate the with the simulation files. Results are obtained for each case and comparison of power dissipation is made on the basis of reports is given in table 1 and shown in figure. It is observed that the total power consumed in modified LFSR is 46% less than the power consumed with normal LFSR and out put dynamic power is decreased by 44.6 %. The above figure is low power linear feed back shift register Figure 7: Comparison of Power dissipation in testing with conventional and low power LFSR The above figure is injector circuit The EDA tool is used in which conventional and low power LFSR is coded in Verilog hardware descriptive language and a seed value is given (01001010010110101101001O100101101011) to the polynomial and primitive value polynomial in LFSR block. The outputs of the 36-bit LFSR are used as the inputs to the c432 ISCAS-85 a benchmark circuit of It is concluded that low power LFSR is very useful for BIST implementation in which the CUT may be Combinational, sequential and memory circuits. Using low power LFSR technique we can further decrease the power in BIST implementation. ACKNOWLEDGMENT We thank to Prof. K. Karthik, for providing necessary facilities towards carrying out this work. We acknowledge the diligent efforts of our Head of the Department T.J.V.S. Subrahamanyam in assisting us towards implementation of this idea. 564

REFERENCES: [1] N. Ahmed, M. H. Tehranipour, M. Nourani Low Power Pattern Generation for BIST Architecture [2] Balwinder Singh, Arun Khosla, Sukhleen Bindra Power Optimization of Linear Feedback Shift Register (LFSR) for Low Power BIST [3] E. Atoofian, S. Hatami, Z. Navabi, M. Alisaface and A. Afzali-Kusha," A New Low-Power Scan- Path Architecture," IEEE International Symposium, Vol.5, pp.5278-5281, 23-26 May 2005 [4] Dr.K.Gunavathi,Mr.K.ParamasivaM,Ms.P.Subashi ni Lavanya, M.Umamageswaran," A novel BIST TPG for testing of VLSI circuits", IEEE International Conference on Industrial and Information Systems, pp.8-11, August 2006. [5] Mohammad Tehranipoor, Mehrdad Nourani, Nisar Ahmed," Low-Transition LFSR for BIST-Based Applications," 14th Asian Test Symposium, pp. 138-143, 18-21 Dec. 2005. [6] F. Corno, P. Prinetto, M. Rebaudengo, M. Sonza Reorda," A Test Pattern Generation methodology for low power consumption," pp.1-5, 2008. [7] Shilesh Malliyoor, Chao You," Comparison of hardware implementation and power consumption of low-power multiple output linear feedback shift register," Journal of engineering, computing and architecture, 2007. [8] Jinkyu Lee and Nur A. Touba," LFSR-Reseeding Scheme Achieving Low-Power dissipation during Test," IEEE transactions on computer- aided design of integrated circuits and systems, 26(2), February 2007. BIOGRAPHIES Y. Balasubrahamanyam, presently doing an M.Tech in Department of Electronics and Communication Engineering in Sasi Institute of technology & Engineering, Tadepalligudem. G. Leenendra Chowdary, presently working in Sasi Institute of technology & Engineering, Tadepalligudem as an Asst. Professor in Electronics and Communication Engineering Department. 565